高速设计学习-干货!高速串行Serdes均衡之FFE

干货!高速串行Serdes均衡之FFE 

来源:EETOP论坛 及 公众号:不忘初心的模拟小牛牛

作者:131v1vv

本系列,准备把高速串行通信中用到的均衡进行一个总结。这期先介绍发送端。

高速接口SerDes为实现芯片间信号的有线传输,需要完成数字到模拟的转化,经过通道传输后,再将模拟信号转回数字信号。并保证传输过程保持比较低的误码率。本期,结合信道的特性,我们来了解一下SerDes的发送端TX的均衡原理。

SerDes的整个模型可以简单表示为图1所示。其中经过串化后的数字信号流,经过TX Driver转化为NRZ编码的波形发送到TX输出端,经过信道传输,被RX前端采样和比较,解码得到正确的数据。模型上就是从{dk}到y(t)的过程。

图1

其中数字信号表示为{dk},这里为方便叙述,dk取值归一化为±1,分别代表逻辑“1”和“0”。其转化关系为是线性的。同样将TX输出y(t)归一化为±1的波形如图2。可以将TX的单位冲激响应Φ(t)看做是一个窗函数rect,也就是一个零阶保持器(Zero-order Hold,ZOH)。Tx Driver就通过ZOH完成了离散信号到连续信号的转换。

如图2,经过ZOH连续化的NRZ编码信号,可以分解为1UI宽度的幅度为±1的脉冲信号了。

  • 5
    点赞
  • 41
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值