逻辑时钟_Xilinx 7系列SelectIO结构之SelectIO逻辑资源(二)

本文深入探讨Xilinx 7系列FPGA的SelectIO结构,重点介绍IDELAY和IDELAYCTRL资源。IDELAY用于调整输入信号的延迟,以匹配时序要求,而IDELAYCTRL则用于IDELAY的校准,确保在不同条件下的精度。详细阐述了IDELAY的模式、端口和时序,以及IDELAYCTRL的功能和时序控制。
摘要由CSDN通过智能技术生成

611631af02c665ec9a6d74914627e396.png

引言:本文我们介绍SelectIO重要的IDELAY及IDELAYCTRL资源,它们主要用于调整I/O时序延迟,比如调整ADC采集时钟和ADC采集数据I/O之间的时序关系等等。具体内容包括:

  • IDELAY资源概述、端口及使用
  • IDELAYCTRL资源概述、端口及使用

1.输入延迟资源(IDELAY)

每个I/O模块都包含了一个可编程的延迟原句,称作IDELAYE2。IDELAY可以连接到ILOGICE2/ISERDESE2或者ILOGICE3/ISERDESE2模块。IDELAY2是一个可编程的31阶延迟原句,延迟参数可以参考7系列FPGA对应器件家族的器件手册。它既可以应用于组合逻辑也可以应用于时序逻辑或者同时用于两者。另外,它也可以直接被FPGA逻辑访问。IDELAY允许即将输入的信号在各自的输入管脚上做延迟处理,该延迟由IDELAYCTRL原句控制,延迟参考时钟频率在7系列器件手册有明确定义。

1.1 IDELAYE2原句

IDELAYE2原句如图1所示。

fce5a2debabca96b41ced94a4e103757.png

图1、IDELAYE2原句

IDELAYE2端口列表如图表1所示。

0408d5a40d4293fef43263acbc548688.png

表1、IDELAYE2端口列表

1.2 IDELAY端口

1.IDATAIN:来自IOB的数据输入

IDATAIN端口由IOB块进行驱动。IDELAY可以将数据输出至ILOGICE2/ISERDESE2或者I

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值