FPGA上升沿检测的疑问

always @ (posedge clk_a or negedge rst_n)
    begin
        if (rst_n == 1'b0) 
            begin
               pules_a_r1 <= 1'b0;
               pules_a_r2 <= 1'b0;
               pules_a_r3 <= 1'b0;
            end
        else 
            begin                                   //打3拍
               pules_a_r1 <= pulse_b;
               pules_a_r2 <= pules_a_r1;
               pules_a_r3 <= pules_a_r2;
            end
    end

assign pulse_a_pos  = (~pules_a_r3) & pules_a_r2;   //上升沿检测
assign pulse_a_neg  = pules_a_r3 & (~pules_a_r2);   //下降沿检测
assign pulse_a      = pules_a_r2;

pulse_b,pules_a_r1,pules_a_r2,pules_a_r3这几个信号中,pulse_b是最新的状态,而pules_a_r1,pules_a_r2,pules_a_r3以此存取的是pulse_b的上个状态,上上个状态,上上上个状态。

所以检测上升沿pules_a_r2 & (~pules_a_r3);中, pules_a_r3是pules_a_r3的上一个状态,上一个状态是0,此时的状态是1,所以0到1,为上升沿检测!

always @ (posedge clk_a ornegedge rst_n) beginif (rst_n == 1'b0) begin pules_a_r1 <= 1'b0; pules_a_r2 <= 1'b0; pules_a_r3 <= 1'b0; endelsebegin//打3拍 pules_a_r1 <= pulse_b; pules_a_r2 <= pules_a_r1; pules_a_r3 <= pules_a_r2; endendassign pulse_a_pos = pules_a_r2 & (~pules_a_r3); //上升沿检测assign pulse_a_neg = pules_a_r3 & (~pules_a_r2); //下降沿检测assign pulse_a = pules_a_r2;

转载于:https://www.cnblogs.com/shanchuang-119/p/10983630.html

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值