功能覆盖率基础知识第一讲,功能覆盖率的建模(covergroup、coverpoint、bins)

功能覆盖率的建模

1、以验证计划为起点,编写可以仿真的功能覆盖率模型;
2、在验证平台中采样变量和表达式的值(coverpoint);

触发条件

当验证平台 triger(触发)trans_ready事件时,采样 CovPort

event trans_ready;

covergroup CovPort@(trans_ready);
	coverpoint ifc.cb.port;			// Measure coverage
endgroup

定义覆盖点:信号和表达式

采样数据:任何收集覆盖信息

1、在覆盖点中指定了变量和表达式,SystemVerilog创建了一组bins,用于记录采样到的数值;

2、bins是一个功能覆盖率的衡量单位;

3、在每次仿真结束时,生成的数据库包含了采样后所有的bins;

4、EDA分析工具可以读取这个数据库,生成一个覆盖率报告,报告中包含了设计中哪一部分被覆盖,以及总的覆盖数值;

采样数据:私有
  • 1
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

小白蒋博客

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值