Verilog交通灯控制模块

简介:
用Verilog编写行为模块模拟交通灯的控制时序。【注】该代码不可综合成电路网表。
代码实现:

/*----------------------------------------------
Filename: trafic_lights.v
Function: 模拟交通灯的控制时序;[本程序不可综合]
Author: Zhang Kaizhou
Date: 2019-8-6 22:20:08
-----------------------------------------------*/
`timescale 1ns/1ns
`define clock_period 100
module trafic_lights(red, amber, green);
	//端口定义
	output red, amber, green;
	reg clock, red, amber, green;
	parameter on = 1, off = 0, red_tics = 350, 
			  amber_tics = 30, green_tics = 200;
	
	//初始化
	initial
	begin
		clock = 0; red = off; amber = off; green = off;
	end
	
	//控制时序
	always
	begin
		red = on;
		light(red, red_tics);
		amber = on;
		light(amber, amber_tics);
		green = on;
		light(green, green_tics);
	end
	
	//灯亮持续时间的任务
	task light;
		//端口定义
		output color;
		input [31 : 0] tics;
		
		//控制时序
		begin
			repeat(tics) @(posedge clock);
			color &#
  • 1
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 4
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值