关于Monte Carlo仿真输出结果不能显示的问题 | Cadence ADE XL

问题描述:
在Cadence ADE XL中进行Monte Carlo仿真时,仿真可以正常进行,但是无输出结果。具体如下图所示:
在这里插入图片描述

问题原因:
之所以没有输出的原因为在ADE中设置的信号是直接用outputs→To Be Plotted→Select On Design,这种方法设置的信号的类型为signal,并不能用作统计,所以无法输出,也不能绘制成直方图形式。
解决方法:
在ADE中用Outputs→Setup的方式通过Calculator来进行输出信号的设置,这种方法设置的信号的输出类型为express,即表达式类型,这样就可以完成统计运算,并可以输出成直方图形式。

  • 11
    点赞
  • 27
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值