Verilog中的编译指令

1、define指令

(1)用来定义参数,功能和parameter类似

`define WIDTH 8
reg [`WIDTH-1 : 0] data;

(2)定义一个名为name的变量,编译的时候将statements的内容包含进来,与条件生成语句类似

`define AND
`ifdef AND
    assign c = a & b;
`elseif  OR
    assign c = a | b;
`else
    assign c = a;
`endif

若使用“或门”,则

`define OR
`ifdef AND
    assign c = a & b;
`elseif  OR
    assign c = a | b;
`else
    assign c = a;
`endif

2、timescale指令

时标指令是用来定义时间单位和时间精度的,一般用于仿真和时序分析中。

`timescale 10ns/1ns     //verilog只能是1、10、100

3、include指令

与C语言类似,被include的文件以“*.vh’'命名:verilog header

`include "para.vh"
`include "../data/para.vh"
`include "C:/data/para.vh"
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值