SystemVerilog 概念浅析之package(import& `include)

SystemVerilog中package import和`include方式的差异 --这个文章的解释更好
SystemVerilog Coding Guidelines: Package import versus include

To explain in simple terms,

  1. we use import for importing a package. Using import you can select components of a package like a class or a task or “*” to import complete package.
  2. we use include to physically place the code of a file while compiling. With include, you just get entire code here but cannot have part of the include file.

SystemVerilog中的package提供了保存和共享数据、参数和方法的机制,可以在多个module、class、program和interface中重用。
package中声明的内容都属于这个package作用域(scope)。在使用这些内容时,需要先import这个package,然后通过package引用。

SystemVerilog中的package通过package和endpackage声明

package my_pkg;
  typedefenumbit [1:0] {
    RED,YELLOW, GREEN, RSVD } e_signal;
  typedefstruct {
    bit [3:0
  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值