【sv】【wait fork】SYSTEMVERILOG在FOR循环中使用FORK_JOIN和FORK_JOIN_NONE的区别

本文探讨了在SystemVerilog中,for循环中使用fork_join和fork_join_none的差异。fork_join会导致顺序执行,而fork_join_none则允许并行执行。为实现并行,需在for循环后加入wait_fork语句。需要注意,fork / join仅等待直接子线程完成,而wait_fork会等待所有子线程及孙线程的完成。
摘要由CSDN通过智能技术生成

原文
我想在一个for循环中fork_join或者fork_join_none语句实现多线程, 假如我使用经典的方法:

for(int index=0;index<14;index++)begin
    automatic int idx=index;
    fork
        begin
            `uvm_do_on(sequence_inst,p_sequencer
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值