自己编写的使时钟不连续的测试代码-fork---join_none用法以及停止线程

//测试平台
`timescale  1ns/1ps
module   tb();
  reg     clk;
  reg     clk4;
  reg     por;
  reg     din_100;
  reg     din_10;
  reg     rx_en;
  
  wire    din_w;
  wire    din;
  wire    din_100_en;
  wire    din_10_en;
  wire    rst;


zdvc_vcd_interface m(
  .clk(clk),
  .clk4(clk4),
  .por(por),
  .din_100(din_100),
  .din_10(din_10),
  .rx_en(rx_en),
  .din_w(din_w),
  .din(din),
  .din_100_en(din_100_en),
  .din_10_en(rst)
);

initial 
begin
  $fsdbDumpfile("wave_test.fsdb");
  $fsdbDumpvars; 
end

//always #147.5 clk4 = ~clk4;

initial 
begin
  clk = 1'b0;
  fork:genclk4
    begin
     forever #147.5 clk4 = ~clk4;
    end
  join_none
  por = 1'b0;
  clk4 = 1'b0;
  din_100 = 1'b1;
  din_10 = 1'b1;
  rx_en = 1'b0;
  # 590
  por = 1'b1;
  # 2360;
  
  din_100 = 1'b0;
  #47 din_100 = 1'b1;
  #120 din_100 = 1'b0;
  
 
  #100 din_100 = 1'b1;
  #230 din_100 = 1'b0;
  #200 din_100 = 1'b1;
  #67 din_100 = 1'b0;
  #120 din_100 = 1'b1;
  #65 din_100 = 1'b0;
   disable genclk4;
   clk4 = 1'b0;
  #5000 din_100 = 1'b1;
  
    fork:gen2clk4
      begin
       forever #147.5 clk4 = ~clk4;
      end
    join_none
  #66 din_100 = 1'b0;
  #122 din_100 = 1'b1;
  #80 din_100 = 1'b0;
  #21 din_100 = 1'b1;
  #83 din_100 = 1'b0;
  #230 din_100 = 1'b1;
  #3300 din_100 = 1'b1;
  $stop;
end
endmodule


源代码//省略,呵呵,

波形可以看看哦,

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值