vivado2019.2修改clk_wizard时钟后报错FREQ_HZ不匹配

vivado2019.2修改clk_wizard时钟后报错FREQ_HZ不匹配

一、问题描述:

使用clock_wizard创建一路时钟,连接到了各个模块,时钟频率设置为300M,编译固件无报错且固件下板子后功能正常;接下来修改时钟为200M,开始编译,报错:ERROR: [BD 41-238] Port/Pin property FREQ_HZ does not match between /proc_sys_reset_0/slowest_sync_clk(300000000) and /clk_wiz_0/clk_200M(200000000)

报错截图如下
在这里插入图片描述

二、原因

我猜测是Vivado2019.2的bug,以前使用2016.4和2017.3都没有遇到过此问题。

三、解决办法
比较笨拙的办法是,删除相关模块并且重新添加,重新配置ip。不过我要介绍的以下方法可以不用重新添加IP到bd中,原封不动的将bd重建。
1、导出block design的tcl文件
导出bd的tcl文件
2、删除工程的block design
在这里插入图片描述
3、导入第一步骤中到处的tcl文件
在这里插入图片描述
在这里插入图片描述

4、解决,可以开始编译

  • 4
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 4
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值