verilog时钟翻转怎么写_verilog语言实现时钟功能

本文介绍了如何使用Verilog语言实现时钟翻转和数码管显示功能。通过三个always块分别处理小时、分钟和秒的计数,并在特定条件触发时钟翻转。同时,定义了数码管输出寄存器和译码结果,以显示当前时间。
摘要由CSDN通过智能技术生成

module shizhong(clk1,clk_scan,reset,dig,seg);

input clk1,clk_scan;

input reset;

output[7:0] dig,seg;

reg[7:0] seg_r;

//

定义数码管输出寄存器

reg[7:0] dig_r;

reg[3:0]count;

reg[3:0] msl,sl,ml;

reg[2:0] msh,sh,mh;

reg enmin,enhour;

reg[3:0] disp_dat;

assign dig = dig_r;

//

输出数码管选择

assign seg = seg_r;

//

输出数码管译码结果

always@(posedge clk1 or negedge reset)

begin

if(!reset)

begin

{msh,msl}<=0; enmin<=0;end

else

begin if(msl==9) begin msl<=0;

if(msh==5)

begin

msh<=0; enmin<=1; end

else msh<=msh+1'b1 ; end

else begin msl<=msl+1'b1;

enmin<=0;

end

end

end

always@

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值