verilog时钟翻转怎么写_verilog实时可调时钟代码

module clock(clk,out,reset,cin,ocom,count,countmin,tgm,tdm,tgs,tds);

output[3:0] ocom;

output[7:0] out;

output count,countmin;

input cin,clk,reset;

input tgm;

//

高位的分

input tdm;

//

地位的分

input tgs;

//

高位的秒

input tds;

//

地位的秒

reg [7:0] out_s;

reg[7:0] out_min;

reg[7:0] out;

reg[3:0] ocom;

reg[3:0] in_out;

reg clk_m,clk_n;

reg[1:0] select;

reg count;

reg countmin;

integer count_clk,count_cp;

always @(posedge clk)

if (count_cp == 50000)

//50MHZ

50000

次分频,取反后得到

2ms

的时间

begin

count_cp = 0;

clk_n = ~clk_n;

end

else

count_cp = count_cp + 1;

always @(posedge

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值