ip打包后如何加入 xilinx_Vivado使用技巧:封装自己设计的IP核

本文介绍了如何在Xilinx Vivado中将自定义设计封装为IP核,并在其他工程中调用。通过创建一个简单的可配置位宽的加法器作为示例,详细阐述了从设置IP包、创建IP核、定义参数到在新工程中使用IP核的全过程。
摘要由CSDN通过智能技术生成

概述

Vivado在设计时可以感觉到一种趋势,它鼓励用IP核的方式进行设计。“IP Integrator”提供了原理图设计的方式,只需要在其中调用设计好的IP核连线。IP核一部分来自于Xilinx官方IP;一部分来自于第三方IP,其中有的是在网络上开源的;另一部分就是自己设计的IP。有时候我们需要把自己的一个设计反复用到以后的工程中,利用Vivado的“IP Package”将其封装起来,再以后的工程中直接调用即可。

本文致力于讲述如何将自己的设计封装为IP核,以及示范一下如何在其它工程中调用自己设计好的IP核。本文采用的设计是一个简单的位宽可设置的加法器。博主使用的Vivado版本是2017.1,其它版本在一些设置的地方可能存在一些差异。

封装IP

1. 首先建立一个工程用于设计IP核,这个工程最好放在Vivado目录下或不会被删除的地方。添加一个源文件,输入一个简单的组合逻辑加法器的代码:

`timescale 1ns / 1ps

module Add_USR_IP #(parameter WIDTH = 1)(

input [WIDTH:0] a,

input [WIDTH:0] b,

output cout,

output [WIDTH:0] c

);

assign {cout, c} = a + b;

endmodule

1

2

3

4

5

6

7

8

9

10

11

12

2. 点击左边“Flow Navigator”中的“Set

  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值