uvm函数的重载

system verilog作为一个面向对象的语言,它支持函数或者任务的重载.在父类中定义的函数,如果在前面添加了virtual,那么在子类中便可以对其重载.
1.添加virtual的函数
在test_sanity中定义set_index函数,并且在build_phase中调用.
在这里插入图片描述
test_case0继承于test_sanity,重写set_index函数,build_phase中不做其他动作.
在这里插入图片描述
运行test_case0,从log中可以看出,父类中的set_index表现出来子类的特征.
在这里插入图片描述
2.不添加virtual的函数
在test_sanity中定义set_index函数,并且在build_phase中调用.
在这里插入图片描述
test_case0继承于test_sanity,重写set_index函数,build_phase中不做其他动作.
运行test_case0,从log中可以看出,父类中的set_index没有表现出来子类的特征.
在这里插入图片描述

  • 1
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值