Scoreboard
在功能覆盖用户中,jelly_bean_transaction在write函数中被采样。与功能覆盖率收集器类似,关注write功能是关键。记分板subscriber使用write函数调用父组件(jelly_bean_scoreboard)中的check_jelly_bean_taste函数。此check_jelly_bean_taste函数将DUT响应与预期响应进行比较。
typedef class jelly_bean_scoreboard;
class jelly_bean_sb_subscriber extends uvm_subscriber#(jelly_bean_transaction);
`uvm_component_utils(jelly_bean_sb_subscriber)
function new(string name, uvm_component parent);
super.new(name, parent);
endfunction: new
function void write(jelly_bean_transaction t);
jelly_bean_scoreboard jb_sb;
$cast( jb_sb, m_parent );
jb_sb.check_jelly_bean_taste(t