iserdese2接口详解_Xilinx FPGA LVDS应用

本文详细介绍了在Xilinx FPGA中如何使用ISERDSE2接口进行高速差分信号的收发。通过示例代码展示了ISERDSE2和OSERDESE2原语的运用,以及如何利用BITSLIP信号进行数据对齐,以实现串行到并行的转换。此外,还提到了LVDS信号的引脚配置和内部100欧姆电阻匹配。
摘要由CSDN通过智能技术生成

最近项目需要用到差分信号传输,于是看了一下FPGA上差分信号的使用。Xilinx FPGA中,主要通过原语实现差分信号的收发:OBUFDS(差分输出BUF),IBUFDS(差分输入BUF)。

注意在分配引脚时,只需要分配SIGNAL_P的引脚,SIGNAL_N会自动连接到相应差分对引脚上;若没有使用差分信号原语,则在引脚电平上没有LVDS的选项(IO Planning PlanAhead)。

测试代码:

//

modulelvds_test( sys_clk,

sys_rst,

signal_in_p,

signal_in_n,

signal_out_p,

signal_out_n,

led_signal

);inputsys_clk,sys_rst;inputsignal_in_p,signal_in_n;outputsignal_out_p,signal_out_n;outputled_signal;wiresignal_out_temp;reg[31:0] clk_cnt;always @ (posedge sys_clk) begin

if(!sys_rst) clk_cnt <= 32'd0;

else begin

if(clk_cnt == 32'd10_000_000) clk_cnt <= 32'd0;else clk_cnt <= clk_cnt+1'b1;

end

end

assign signal_out=(clk_cnt >= 32'd5_000_000) ? 1 : 0;

OBUFDS signa

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值