verilog加法器_【HDL系列】半加器、全加器和行波进位加法器原理与设计

本文介绍了加法器在计算机中的应用,并详细讲解了半加器、全加器以及行波进位加法器的工作原理,包括它们的真值表、逻辑表达式和Verilog描述。重点讨论了行波进位加法器的结构及其性能限制。
摘要由CSDN通过智能技术生成

710f94115b41bc88535da2b5bab7b1e0.png

加法器是算术运算的一种,在计算机和一些处理器中被运用于算术逻辑单元ALU中或者处理器的其他部分如计算地址,加减操作等类似操作。今天。我们来重温下数字电路中的加法器。

一、半加器

半加器用于计算2个单比特二进制数a与b的和,输出结果sum(s)和进位carry(c)。在多比特数的计算中,进位c将作为下一相邻比特的加法运算中。单个半加器的计算结果是2c+s。其真值表、逻辑表达式、verilog描述和电路图分别如下所示。

真值表

b9ee47fbd1ddbcd31b5a66661f7610e7.png

逻辑表达式

3d6b0c2c7c0c448400378a6477b0bf25.png

Verilog描述

e6f63513e504000db82f7e503832e1ba.png

电路图

17454871753956e8923f953036349e0b.png

二、全加器

全加器不同于半加器的地方是,全加器带有进位cin。输入为a,b,cin, 输出为sum(s)和carry*(cout),均是单比特信号。s为a,b,cin三个单比特数的和,cout为a,b,cin三个数超过2后的进位。真值表、逻辑表达式、verilog描述和电路图分别如下所示。

真值表

c94c7c3bdb050cf98c114cbea390714d.png

逻辑表达式

fecfa3368e72d373212f163150ec282e.png

Verilog描述

a2777e0f06a496f867760b7d1e6b7a0a.png

电路图

d9e1b97fb805e117ddacac9729da24b4.png

表示符号

6f229006ab6866eae6664f83a60ebe26.png

三、行波进位加法器

N-bit加法器可以根据1-bit全加器组合而成。每个全加器的输出进位cout作为下一个全加器的输入进位cin,这种加法器称为行波进位加法器(Ripple-carry adder,简称RCA),如一个16比特加法器的结构如下图所示,其中A,B为16比特的加数,S为A+B的和,c16为该加法器的输出:

7e9df67a94afa7915218a594e5980272.png

由上图可以看出得到进位c16的结果依赖于c15,c14,c13,…,c2,c1,c0,对于32-bit,64-bit,128-bit等加法器,进位链将显得更加长。所以,行波进位加法器设计简单,只需要级联全加器即可,但它的缺点在于超长的进位链,限制了加法器的性能。

Verilog描述

c361fd19ac6162e6f087d24639f9ceae.png

半加器,全加器和行波进位加法器,源码公众号回复002。

更多阅读,关注“纸上谈芯”,不定期更新,共同学习:

c520e9aac99510e7386c4976dbb12f63.png
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值