【UVM源码学习】uvm_misc


  uvm_misc,UVM杂货铺,定义了uvm用到但不好归类的杂散的task、function、class。

  uvm_void,基类,所有uvm类都直接或间接扩展自uvm_void。uvm_void中没有任何成员变量或方法,是个抽象类,其作用与C语言中的void相似。

  uvm_scope_stack,用局部字符串类型队列m_stack实现了堆栈,用来存放uvm路径(scope)。该类中主要实现了以下方法:

序号方法描述
1depth获取堆栈m_stack的size
2set_arg设置m_arg,无法设置为空字符串
3get_arg返回字符串m_arg
4set_arg_elementset_arg只有一个string类型的输入参数arg,set_arg_element增加了一个int类型的输入参数ele,讲ele转换为字符串后,m_arg = arg[ele]
5unset_arg清空字符串m_arg。只有当unset_arg的输入参数arg与m_arg相同时才清空
6set清空队列m_stack及m_arf,然后把指定字符串压入队尾
7get获取字符串队列m_stack内所有字符串并用点号“.”对各字符串进行拼接。若某字符串以“[”“(”“{”其开头,追加到前一字符串尾部且不以点号分隔。
8up从m_stack队尾取scope并清空m_arg
9down指定scope压入队尾并清空m_arg
10up_element跟up的区别:从队尾取出scope后再压入队尾
11down_element跟down的区别:传入的scope为int类型


  uvm_status_container,用来存储automation方法状态的类。

序号方法描述
1do_field_check检测field数组中是否存在指定的field,不存在则报error
2get_function_type返回指定参数代表的type,如参数有枚举变量UVM_COPY、UVM_COMPARE、UVM_PRINT、UVM_RECORD、UVM_PACK、UVM_UNPACK、UVM_FLAGS、UVM_SETINT、UVM_SETOBJ、UVM_SETSTR等,返回其对应的字符串
3get_full_scope_arg调用uvm_scope_stack的get函数返回m_stack内所有字符串
4m_do_cycle_checkcycle check,具体使用时再介绍吧


  uvm_utils,基类,此类中临时存放了一些比较重要的方法:

序号方法描述
1find_all调用uvm_root::find_all,在uvm_component队列中查找所有start(用户指定的component)之后的已经例化的component
2find调用了find_all,但只返回第一个查找到的component
3create_type_by_name调用uvm_factory的create_object_by_name,根据name创建object
4get_config获取指定uvm_component的所有config


  在本文件实现的变量还有:

序号方法描述
1uvm_global_random_seed全局随机seed
2uvm_seed_map
3uvm_instance_scope返回uvm lib所在的层级(import uvm_pkg::*的地方)
4uvm_oneway_hash单向哈希算法,多用于用以生成随机seed
5uvm_create_random_seed生成随机seed,此处就用了oneway hash,生成的seed会加入seed_table中
6uvm_object_value_str把指定uvm_object类型的object转换为字符串str,返回字符串@str
7uvm_leaf_scope获取uvm的叶子节点
8uvm_bitstream_to_string比特流转字符串,可指定转换字符串的数字进制
9uvm_integral_to_string整型转字符串,可指定转换字符串的数字进制
10uvm_vector_to_string向量参数转字符串,调用uvm_bitstream_to_string实现
11uvm_get_array_index_int检测指定字符串是否为整型数组的索引
12uvm_get_array_index_string检测指定字符串是否为字符串数组的索引
13uvm_is_array判断是否为数组(检测最后一位字符是否为“]”)
14uvm_has_wildcard判断是否有通配符
15process_container_c进程容器
16m_uvm_string_queue_join把指定字符串队列加入到字符串m_uvm_string_queue_join中



uvm_active_passive_enum 是 UVM (Universal Verification Methodology) 中定义的一个枚举类型,用于区分 UVM 组件(如 agent)的工作模式是主动(active)还是被动(passive)。在 UVM 中,agent 组件可以是主动的,也可以是被动的,这取决于其子组件的行为。 - 主动模式(active): 在主动模式下,agent 会生成事务(transactions),并将其发送到被测设备(DUT)。主动 agent 通常包含 sequencer,它负责从 sequencer 获取序列并发送事务到驱动(driver)。 - 被动模式(passive): 被动模式下,agent 不生成事务,而是响应来自其他主动 agent 的事务。被动 agent 不包含 sequencer,而是可能包含监视器(monitor)和检查器(checker),用于观察通信并进行验证。 uvm_active_passive_enum 通常用于 agent 的构造函数中,以便在创建 agent 实例时指定其模式。例如: ```verilog class my_agent extends uvm_agent; `uvm_component_utils(my_agent) uvm_active_passive_enum m_mode; // 枚举类型的成员变量 function new(string name, uvm_component parent); super.new(name, parent); endfunction virtual function void build_phase(uvm_phase phase); // 根据 m_mode 的值决定构建主动或被动 agent case(m_mode) UVM_ACTIVE: begin // 构建主动 agent 相关组件 end UVM_PASSIVE: begin // 构建被动 agent 相关组件 end default: `uvm_fatal("MODE", "Invalid agent mode") endcase endfunction endclass ``` 在 UVM 的配置中,可以通过 uvm_config_db#() 来设置 agent 的模式。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

MangoPapa

请作者喝瓶可乐吧

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值