UVM学习(0)hello world

UVM学习(0)

 学习UVM首先需要在电脑上安装仿真软件,我这里安装的是questasim,然后新建一个project hello_world

 在这个project中新建两个文件hello.sv和sim.do

 hello.sv如下:

`timescale 1ns/1ps
`include "uvm_pkg.sv"
import uvm_pkg::*;
module hello;
	initial begin 
		int a;
		`uvm_info("info","hello world!!",UVM_LOW);
		$display("hello world3");
	end
endmodule

sim.do如下:

set UVM_DPI_HOME C:/questasim64_2020.1/uvm-1.1c/win64
vlib work
vlog +incdir+D:/uvm1.1/1800.2-2017-1.1/src -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF +incdir + D:/questasim64_2020.1/examples/lab0_hello_world/hello.sv
vsim  -sv_lib $UVM_DPI_HOME/uvm_dpi work.hello
run

在questasim中键入do sim.do即可开始仿真

 

 

 

 

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值