UVM学习(0)
学习UVM首先需要在电脑上安装仿真软件,我这里安装的是questasim,然后新建一个project hello_world
在这个project中新建两个文件hello.sv和sim.do
hello.sv如下:
`timescale 1ns/1ps
`include "uvm_pkg.sv"
import uvm_pkg::*;
module hello;
initial begin
int a;
`uvm_info("info","hello world!!",UVM_LOW);
$display("hello world3");
end
endmodule
sim.do如下:
set UVM_DPI_HOME C:/questasim64_2020.1/uvm-1.1c/win64
vlib work
vlog +incdir+D:/uvm1.1/1800.2-2017-1.1/src -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF +incdir + D:/questasim64_2020.1/examples/lab0_hello_world/hello.sv
vsim -sv_lib $UVM_DPI_HOME/uvm_dpi work.hello
run
在questasim中键入do sim.do即可开始仿真