UVM::hello_world

top.sv

program automatic test;
	import uvm_pkg::*;
	
	class hello_world extends uvm_test;
		
	`uvm_component_utils(hello_world);

	function new (string name, uvm_component parent);
		super.new(name, parent);
	endfunction

	virtual task run_phase(uvm_phase phase);
		`uvm_info("TEST", "hello_world", UVM_MEDIUM);
	endtask

	endclass


	initial begin
		run_test();
	end


endprogram


Makefile
all:clean comp run

comp:
	vcs -sverilog -ntb_opts uvm-1.1 hello.sv
run:
	./simv +UVM_TESTNAME=hello_world +UVM_VERBOSITY=UVM_DEBUG
clean:
	rm -rf csrc  simv  simv.daidir  ucli.key  vc_hdrs.h

result:

UVM_INFO @ 0: reporter [RNTST] Running test hello_world...
UVM_INFO hello.sv(13) @ 0: uvm_test_top [TEST] hello_world







test



评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值