《UVM实战卷Ⅰ》学习笔记 第六章 UVM中的sequence(2)

目录

6.4 sequence进阶应用

1.uvm_do系列函数的操作对象是什么?

2.从某种程度上讲transaction和sequence界限比较模糊

3.seq/sqr/drv之间流转的transaction类型匹配($cast(子类,父类)的重要应用)

4.p_sequencer($cast(子类,父类)的重要应用)


6.4 sequence进阶应用

1.uvm_do系列函数的操作对象是什么?

①transaction: uvm_do的操作对象在6.4之前都是transaction,看其中其第一个参数可知(为transaction的指针)

②sequence:由seq的嵌套引发的用法,参数列表中的指针就变成了seq的指针.后台调用start函数,将seq挂载到sqr上

6.3.5中提到的pre_do也设置参数,选择操作对象是transaction还是seq

uvm_send/uvm_rand_send/uvm_creat以seq为操作对象,而start_item和finish_item只能操作transaction,从名字也可以看出来,毕竟transaction extends from uvm_sequence_item

2.从某种程度上讲transaction和sequence界限比较模糊

一开始建立了seq-sqr-drv这个思路,刚开始还比较清晰,但是时间一长,会觉得transaction和seq有点模糊不清.

书中也提及了相关情况,的确两者关系是比较模糊,比如都做了uvm_do的操作对象,都会对成员变量加rand.

3.seq/sqr/drv之间流转的transaction类型匹配($cast(子类,父类)的重要应用)

测试case有很多,所以transaction类型也就不同.面对不同的transaction,不可能设置不同的drv来接收.这里就用到$cast(子类,父类).driver由默认获得到的父类对象uvm_sequence_item转为了子类对象trans(note:transaction extends uvm_sequence_item).

如果对父类转子类的目的不理解,可以自行看看类相关知识.提醒一点,父类转成子类,是为了获取子类的成员变量,在这里就是driver要send子类,或者子类有其他操作.

还有一点要特别注意,转换完之后如果调用clone()等方法会返回父类句柄,因为该方法函数属于uvm_sequence_item,如果要使用函数返回中的成员变量,还要$cast转换.

4.p_sequencer($cast(子类,父类)的重要应用)

需求是:seq中要使用sqr中的成员变量

解决是:p_sequencer.变量

首先再强调一下$cast(子类,父类)的意义:父类要使用子类中的成员变量

6.4.1中提到了m_sequencer这个成员变量,是使用start挂载时的参数,是所挂载sqr的指针,说是每个uvm_sequence的成员变量,如果是这样那么每个继承于uvm_sequence的确可以使用,其实细节是该变量是声明在uvm_sequence_item中,而uvm_sequence extends uvm_sequence_base,而uvm_sequence_base extends uvm_sequence_item,层层继承.

class uvm_sequence_item extends uvm_transaction;

  local      int                m_sequence_id = -1;
  protected  bit                m_use_sequence_info;
  protected  int                m_depth = -1;
  protected  uvm_sequencer_base m_sequencer;//声明在这里
  protected  uvm_sequence_base  m_parent_sequence;
  static     bit issued1,issued2;
  bit        print_sequence_info;

而uvm_sequencer extends uvm_sequencer_param_base, uvm_sequencer_param_base extends uvm_sequencer_base, 发现m_sequencer是uvm_sequencer_base的句柄,不可能直接由高层级的句柄直接访问到低层级中的成员变量,这里就又涉及到了$cast(子类,父类).

那么我们可以怎么做呢?很简单,在seq中声明一个当前sqr的句柄p_sequencer,然后$cast(p_sequencer,m_sequencer),把以上过程生成一个宏uvm_declare_p_sequencer(SEQUENCER),这个宏就包含了以上两个过程:①创建sqr句柄 ②句柄转化,代码如下:

`define uvm_declare_p_sequencer(SEQUENCER) \
  SEQUENCER p_sequencer;\
  virtual function void m_set_p_sequencer();\
    super.m_set_p_sequencer(); \
    if( !$cast(p_sequencer, m_sequencer)) \
        `uvm_fatal("DCLPSQ", \
        $sformatf("%m %s Error casting p_sequencer, please verify that this sequence/sequence item is intended to execute on this type of sequencer", get_full_name())) \
  endfunction  

  • 0
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值