元件例化(第二天)

元件例化(第二天)

半加器描述

  • 此前老师讲过应该是没录到,也有可能会考

          module h_adder(a, b, co, so)  
              output co, so;
              input a, b;
              assign so = a^b; //连续赋值语句
              assign co = a&b;
          endmodule
    

元件例化

本节重点

(1)用元件例化实现全加器
(2)循环语句的使用
(3)作业

用元件例化实现全加器

  • 用元件例化实现全加器的实现基础是半加器已例化完成了像这样

    //这是一个半加器
          module h_adder(a, b, co, so)  
              output co, so;
              input a, b;
              assign so = a^b; //连续赋值语句
              assign co = a&b;
          endmodule
    

    下面是一个全加器,由两个半加器组成

  • 3
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值