vcs+verdi运行UVM实战中的例子

1、前期准备

前期下载可以参考搭建属于自己的数字IC EDA环境(三):Centos7安装EDA(vcs2018、verdi2018等)IC工具以及脚本运行第一个工程_宏强-Blog-CSDN博客_vcs2018在运行过程中出现了好多问题,下载好vcs+verdi后可以参考鱼哥的文章设置如何跑通《UVM实战》书上的例子? - 知乎。后期运行我又懵了。

2、手把手运行起来

小白跟我一起看吧

1、在相应文件夹打开终端

2、在终端输入vcs运行代码 :

各个代码的含义可以自行查看:#VCS# 常见VCS 编译选项总结_那么菜的博客-CSDN博客_vcs 选项

vcs -R -full64 +v2k -fsdb +define+FSDB -sverilog -ntb_opts uvm top_tb.sv -debug_all -elab -lca -kdb

出现这样的界面说明咩有问题: 

 3、输入verdi运行代码:

verdi -ssf tb.fsdb//根据鱼哥文章里在top.tb里设置的文件名要相同

4、 跳出verdi界面:

 5、选中信号,键盘control+w出现波形:

6、信号图区键盘f,出现全局信号视图: 

问题一:然后我运行section2.2中的2.2.4就不行了 数据信号为x :

 知道原因的帮忙解决解决呀!

问题二:有时候会显示你的有些信号无法添加

问题可能是:
1. 你没用-ssf命令行选项或gui中鼠标选中方式加载波形文件;
2. 你加载了波形文件,但是波形文件没有dump你需要的层次或者需要的信号;
3. 你加载了波形文件,但是verdi吃进的filelist和你vcs吃进的filelist有少于差别,也就是说波形文件和filelist不对应;
4. 你加载了波形文件,verdi吃进去的filekist也和vcs吃进去的filelist完全一致,但是你对rtl文件进行了修改,模块或信号可能已经不存在了;
5. 你加载进去的波形文件很小,其实只是个信号的符号表,本质上还没有波形文件,或者波形文件还在内存没有刷进硬盘,你可以在ucli的prompt下fsdbDumpflush一下把内存中的波形文件手动刷到硬盘中,再shift+l重新加载波形;
6. 临时文件问题,把中间文件全部clean了再重新做一次;
7. 其他问题……

问题三:64位的电脑一定要加-full64,不然vcs编译不通过

评论 9
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值