数字IC设计随笔之二(VCS、DVE|Verdi单步调试)

VCS、DVE|Verdi单步调试

使用VCS+Verdi进行仿真时,需要在仿真文件中添加如下代码:

initial begin
    $fsdbDumpfile("test.fsdb");
    $fsdbDumpvars("+all");
end

编译仿真的基本方式是在命令行中输入:

vcs -full64 -P $VERDI_LIB/novas.tab $VERDI_LIB/pli.a test.v
./simv

在上述命令中,编译的文件为test.v。当对一个包含文件较多的工程进行编译仿真时,VCS -f 选项支持从文件读取,在该文件flist中指定编译选项,下述为简单的示例:

//===================verilog library extension===========
+libext+.v+.sv+.vlib
//===================Top level file =====================
./rtl_sim/tb.v
+incdir+./src
//===================Search path========================
-y ./src
-y ./rtl_sim

当使用VCS+Verdi进行单步调试时,需要在VCS编译时增加-debug_all -lca -kdb选项予以支持,并且需要指定Verdi。

vcs -full64 -f flist -debug_all -lca -kdb \
    -P $VERDI_LIB/novas.tab $VERDI_LIB/pli.a
./simv -gui=verdi

将上述命令写为bash或者makefile文件,运行后,将会在Verdi中打开,呈现如下界面,左下角为命令输入窗口,右上角为加载的代码,可以在其中加断点,在命令行输入run将按照断点处执行,同时可以进行单步运行,输入命令next。相关命令操作都可以在菜单simulation里面找到。
在这里插入图片描述
此外,进行调试时也可以选择vcs自带的工具dve。采用DVE进行单步调试时,与Verdi的主要区别在于波形文件格式不同,DVE产生波形文件为VPD,因此,在进行仿真时需要产生VPD波形而非FSDB。产生vpd文件的方式可以通过在仿真文件中添加:

initial begin
$vcdpluson;
end

或者添加编译指令+vcs+vcdpluson,并设定vpd文件名:VPD_NAME = +vpdfile+top_test.vpd。

执行仿真后,可以设置断点,在命令行输入run即可执行,在断点处停下来,其它相关调试选项在DVE菜单Simulator中可见。

  • 11
    点赞
  • 111
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
1入门 5 基本要求: 5 启用调试 5 编译时间选项 6 必要文件 6 调用DVE 7 64位技术支持平台 8 后台处理程序: 8 交互式界面 9 脚本命令(Scripts): 9 DVE的日志文件 10 在命令行运行仿真 10 DVEVCS, SystemVerilog, 和NTB (OV 和 SV) 10 VCS MX和VHDL 11 检查点还原的方法 12 C,C++,和System C代码 12 在GUI界面运行仿真 13 后台处理程序(Post-processing) 13 创建并且运行一个交互式的会话 14 运行仿真 15 工具栏的应用 16 模拟器(Simulator)菜单命令的应用 17 命令行的应用 18 保存会话或布局图 18 保存一个布局图会话 20 载入已保存的会话(session) 20 关闭数据库 20 退出DVE 21 2 图形化界面应用 22 DVE窗口属性概述 22 DVE窗格(panes) 23 管理DVE窗口 23 管理目标窗格 24 停放与移除窗口和窗格 25 拖拽和删除一个已停放的窗口 25 菜单栏和工具栏的应用 26 菜单栏参考 27 文件菜单 28 编辑菜单 29 视图查看菜单 30 仿真菜单 32 信号菜单 34 作用域菜单 36 追踪菜单 37 窗口 38 信号 39 模拟器 39 时间操作 40 扩大或缩小 41 扩大或缩小和变动记录 41 上下文记录命令菜单的应用 42 设置显示的首选项(Preferences) 42 自定义显示 42 3 使用层次数据和信号群组窗格 49 层次窗格 49 给信号赋值 50 4 使用源窗口 51 管理交互仿真中的断点 51 控制源窗口中的行断点 51 在对话框中管理断点 52 行断点 52 时间断点 53 信号断点 54 断言断点 55 任务/函数断点 56 编辑断点 57 5 运用波形窗口 58 建立目标窗口 58 设置波形窗口首选项 58 重命名信号组 60 过滤信号 60 添加信号分离器 60 设置复制信号的显示 60 创建一个用户定义基数 61 管理用户定义基数 61 导入和导出一个用户定义基数 62 运用波形窗 62 制定波形显示 63 指针和标记 64 使用指针 64 运用标记 65 移动,隐藏和删除标记 67 扩展和收缩波形信号 68 在图像窗中搜索 68 比较信号,域和组 68 建立总线并设置表达式 70 使用总线/表达式对话框 70 总线/表达式列表框 71 显示/隐藏细目表格 71 创建一个总线 72 修改总线成分 73 管理表达式列表 73 移位信号 74 8 断言与覆盖率 75 SV断言的编译 75 断言结果的观察 75 显示标准的设置 76 断言的调试 77 观察波形窗口中断言的失效 78 观察断言失效时间增量 79 源代码的定位 80 9 动态驱动和载入的跟踪 81 跟踪功能 82 支持的功能 83 不支持的功能 83 Vera的功能 83 跟踪驱动程序 84 跟踪载入 85 Vera的功能 86
### 回答1: 我可以为您提供一些关于Linux系统中VCSDve 、 Verdi的使用教程的参考资料: 1.VCS:https://help.ubuntu.com/community/VersionControl 2.Dve:http://www.dve-software.com/support/documentation/ 3.Verdi:https://www.verdi-software.com/documentation/ ### 回答2: VCSDve和Verdi都是在Linux系统上常用的工具。 VCS是一款用于模拟电路设计验证的工具。使用VCS,我们可以编译和模拟设计文件,并对其进行功能验证。首先,我们需要在Linux系统上安装VCS。然后,通过命令行界面进入到设计文件所在的目录,并运行VCS编译命令。编译成功后,可以使用VCS的命令行参数来指定需要验证的模块,并执行模拟过程。通过观察波形图和日志输出,可以判断设计是否达到了预期的功能。 DveVCS的一部分,它提供了一个图形用户界面,用于方便地显示和分析VCS产生的波形图。使用Dve,我们可以加载VCS生成的波形文件,并进行波形编辑、信号分析和时序验证等操作。在Linux系统中打开Dve,我们需要首先在命令行界面中输入dve命令,然后在Dve界面中打开波形文件。通过Dve的操作,我们可以更直观地了解设计中的信号波形,并进行相关的验证工作。 Verdi是一款用于设计调试和分析的工具,也是VCS的一部分。在使用Verdi之前,我们需要确保已经安装了VCS。Verdi提供了一个图形用户界面,可以用于快速定位设计中的错误和优化设计性能。在Linux系统中,可以通过命令行进入到设计文件所在的目录,然后输入verdi命令来打开Verdi界面。在Verdi中,我们可以加载VCS产生的仿真数据,通过图形界面分析设计的时序、查看设计层次和进行时序约束的调整等。 总的来说,使用VCS需要编译和模拟设计文件,Dve可以帮助我们更直观地查看和分析波形,而Verdi则提供了设计调试和性能优化的功能。通过合理使用这三个工具,我们可以在Linux系统下进行高效而准确的电路设计验证工作。 ### 回答3: VCS是一种用于验证和确认电子设计的软件工具,被广泛用于硬件描述语言(HDL)设计、仿真和调试中。以下是在Linux系统中使用VCS的简要教程: 1. 安装VCS:首先,下载VCS安装包,并将其解压到指定目录。然后,通过命令行运行安装脚本,按照提示完成安装过程。 2. 编写和编译设计文件:使用任何一种硬件描述语言(如Verilog或VHDL)编写设计文件。然后,使用VCS提供的选项和命令编译设计文件,生成仿真可执行文件。 3. 运行仿真:使用命令行启动仿真,并提供所需的仿真参数,如时钟周期、仿真时间等。VCS将加载仿真可执行文件,并启动仿真进程。 4. 调试设计VCS提供了一些强大的调试功能,如波形查看器、信号追踪、断点设置等。通过运行VCS提供的调试工具,可以在仿真过程中定位和解决设计中的问题。 5. 生成仿真报告:仿真完成后,VCS将生成仿真报告,其中包含仿真过程中的详细信息,如时钟周期、信号值变化等。可以使用报告来分析和评估设计的性能和正确性。 另外,Dve(Debug Visualizer for Engineers)和Verdi是VCS的附加工具,用于图形化调试和可视化分析设计。以下是它们的使用教程: 1. 安装Dve和Verdi:与安装VCS类似,先下载安装包并解压,然后按照指导进行安装。 2. 启动Dve和Verdi:可以通过命令行或图形界面启动Dve和Verdi工具。在启动后,选择要调试的仿真文件,并加载仿真波形。 3. 查看仿真波形:Dve和Verdi提供了直观的波形查看器,可以展示设计中信号的时序波形和值的变化。可以放大、缩小、平移波形,以便更好地分析设计中的问题。 4. 设置断点和触发条件:在Dve和Verdi中,可以设置断点和触发条件,以便在仿真过程中暂停,并检查设计中的信号和寄存器的值。 总结:使用VCSDve和Verdi能够在Linux系统中进行硬件设计的仿真、调试和分析。这些工具可以帮助设计工程师更轻松地验证设计的性能和正确性,并加快设计的开发和优化过程。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值