前言
不同的仿真工具支持不同格式的波形文件,波形文件具有多种格式: fsdb, vpd, wlf, vcd1等:
- Spring Soft (Novas)公司 Debussy / Verdi 常用
xx.fsdb
文件; - Synopsys 公司 VCS DVE 常用
xx.vpd
文件; - Mentor Graphics 公司 Modelsim 常用
xx.wlf
文件;
不同格式的波形文件,具备有不同的特性,其中xx.vcd
文件记录的内容最多,xx.vcd
文件可转换为其它格式的波形文件。
vcd文件重要用途
目前主流功耗分析工具都支持通过VCD文件来评估功耗2。Encounter 和 PrimeTime Px (Prime Power)都可以通过输入网表文件,带功耗信息的库文件以及仿真后产生的VCD文件来实现功耗分析。
生成vcd波形
在tb顶层中加入以下代码:
//generate vcd
initial begin
$dumpfile("test.vcd");
//$dumpvars(0,tb_top); //dump tb_top及以下层次所有信号
$dumpvars(0,tb_top.dut_top_inst.dut); //dump dut及以下层次所有信号
#5ms;
$dumpoff; //dump 5ms波形,随后关闭
end
查看vcd波形
通过DVE查看vcd波形,步骤如下:
1️⃣打开DVE GUI
dve &
2️⃣选择对应xx.vcd
3️⃣选择信号显示波形