Part 1: 1.4 硬件仿真项目——构建逻辑门Mux、DMux

本文介绍了如何通过硬件仿真构建逻辑门,包括Mux(数据选择器)和DMux(数据分配器)。Mux利用Nand门实现,根据sel信号选择输出a或b。当sel=0时输出a&&b,sel=1时输出a||b。DMux则用于根据sel信号选择输入a或b。
摘要由CSDN通过智能技术生成

需要构建的逻辑门如下

在这里插入图片描述

1、Mux(数据选择器), 它是一种操作的门。

也被成为可编程门,下面会用Mux做一个选择and或or的实例。
如果sel=0;取a值
如果sel=1;取b值
在这里插入图片描述
门图如下:

在这里插入图片描述
其中用到的逻辑门全为Nand,见1.1~1.2节

CHIP Mux {
   
    IN a, b, sel;
    OUT out;

    PARTS:
    
  • 3
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值