FPGA第一弹

1 篇文章 1 订阅
0 篇文章 0 订阅

FPGA第一弹

  • 预备工作
    软件:Quartus
    FPGA开发板(手上有两块,一块是学校的DE10-Lite,一块是黑金的AX301,暂时先用DE10-Lite来学习,黑金的那块资料比较全,后续有需要的话再补充)
    在这里插入图片描述

  • 因为自己也是因为毕设和课程原因来做fpga,接下来不知道会不会经常做这个,为了避免自己遗忘细节,所以就把这个当作笔记吧,写的详细一点
    在这里插入图片描述

  • 下图第一个是你新建项目文件的目录,后面两个是工程名
    在这里插入图片描述

  • 然后一路next到如下图,这里要注意的是在设备系列里面选择自己开发板的芯片型号,右边的设备目录也是选择跟芯片相关信息,不知道怎么选的话直接在底下的可用设备一个个找
    在这里插入图片描述

  • 然后又是一路next到最后finish,完成项目创建
    在这里插入图片描述

  • 创建新文件,注意保存的时候文件名要和模块名一样
    在这里插入图片描述

  • 把该文件设置成顶层文件
    在这里插入图片描述

  • 在assignments的device里面设置一些参数(参数根据芯片手册选择)
    在这里插入图片描述
    在这里插入图片描述

  • 执行分析
    在这里插入图片描述

在这里插入图片描述

  • 在assignments的pin planner里面设置引脚,引脚也依照开发板手册进行选择

在这里插入图片描述

  • 开始编译

在这里插入图片描述

  • 点击programmer
    在这里插入图片描述

在这里插入图片描述

  • 点击hardware选择硬件连接接口
    在这里插入图片描述
  • 选择自动检测,自动检测开发板
    在这里插入图片描述
  • 最后点击start开始下载程序,完成
  • 这边有个问题就是直接点击start后不能保存程序,断电后重新上电不能继续执行,因此该步为然后将程序烧录到板子的flash中,断电重上电后可以直接从板子上读取程序运行
    在主界面file中点击转换
    在这里插入图片描述
  • 按步骤更改,点击3后选择add file添加sof文件,最后点击generate
    在这里插入图片描述
    转换成功
    在这里插入图片描述
  • 回到下载界面,点击add file选择转换完成的文件(pof)
    在这里插入图片描述
  • 勾选下图选项后点击下载即可,就可以实现重新上电后可再读取程序运行
    在这里插入图片描述
  • 4
    点赞
  • 44
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
Vivado FPGA Editor是Vivado软件中的一个工具,用于对FPGA后端设计进行修改和调整。它可以查看Place and Route(P&R)之后的网表,并对其进行编辑。通过FPGA Editor,可以快速解决一些设计中的问题,如修改信号的极性、添加约束、调整逻辑等。例如,如果设计中Reset的极性搞反了,可以使用FPGA Editor快速修改,而无需重新编译RTL。同样地,如果忘记添加IO上拉或LVDS的外部跨接电阻,也可以使用FPGA Editor进行修正,而无需重新进行P&R。此外,FPGA Editor还可以用于修改内部变量引脚、调整IDELAY的延时、PLL的相位等。总之,Vivado FPGA Editor是一个强大的工具,可以帮助开发人员快速调整和优化FPGA设计。\[1\] #### 引用[.reference_title] - *1* [强大的工具——FPGA Editor(一)](https://blog.csdn.net/qq_23543041/article/details/121143403)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^koosearch_v1,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* [vivado第三方编辑器的使用](https://blog.csdn.net/Smart_Devil/article/details/108962945)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^koosearch_v1,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [FPGA开发第一:Vivado软件安装、开发使用与工程建立](https://blog.csdn.net/weixin_53747781/article/details/125855611)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^koosearch_v1,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值