AHB APB 简单通讯架构 代码 AHB_Arbiter

在这里插入图片描述

仲裁器

module AHB_Arbiter(
input wire HRESETn,
input wire HCLK,
  
input wire [3:0] HBUSREQx,
output reg [3:0] HGRANTx,

input wire [31:0] HADDR,
input wire HREADY,
input wire HKGrant,
output reg [3:0] HMASTER
);

reg [1:0] State_A;
reg [3:0] REQi;
reg [3:0] GRANTo;
reg [3:0] GRANT_nx;

// roll the Input Request , and roll back for Output Grant next state
always @(*)
begin
  case (State_A)    //     Roll right                               Roll left
    2'b00:  begin REQi =  HBUSREQx[3:0];                  GRANT_nx =   GRANTo[3:0];  end
    2'b01:  begin REQi = {HBUSREQx[0]  , HBUSREQx[3:1]};  GRANT_nx =  {GRANTo[2:0], GRANTo[3]};   end
    2'b10:  begin REQi = {HBUSREQx[1:0], HBUSREQx[3:2]};  GRANT_nx =  {GRANTo[1:0], GRANTo[3:2]}; end
    2'b11:  begin REQi = {HBUSREQx[2:0], HBUSREQx[3]};    GRANT_nx =  {GRANTo[0]  , GRANTo[3:1]}; end
    default:begin REQi =  HBUSREQx[3:0];                  GRANT_nx =   GRANTo[3:0];  end
  endcase
end
// fixed arbiter
always @(*)
begin
  casex(REQi)
    4'bxxx1:  GRANTo = 4'b0001;
    4'bxx10:  GRANTo = 4'b0010;
    4'bx100:  GRANTo = 4'b0100;
    4'b1000:  GRANTo = 4'b1000;
    default:  GRANTo = 4'b0000;
  endcase
end

// GRANT the master when there is no grant
assign allowGrant = (HGRANTx == 4'h0);
always @(posedge HCLK or negedge HRESETn)
begin
  if(!HRESETn)    HGRANTx <= 4'h0;
  else            HGRANTx <= HKGrant ? 4'h0 : allowGrant ? GRANT_nx : HGRANTx;
end

// Decode when HREADY
always @(posedge HCLK or negedge HRESETn)
begin
  if(!HRESETn)  HMASTER <= 4'h0;
  else if(HREADY)
    case(HGRANTx)
      4'b0001:  HMASTER <= 4'h0;
      4'b0010:  HMASTER <= 4'h1;
      4'b0100:  HMASTER <= 4'h2;
      4'b1000:  HMASTER <= 4'h3;
      default:  HMASTER <= 4'h0; 
    endcase       
end

// change inside state
always @(posedge HCLK or negedge HRESETn)
begin
  if(!HRESETn)      State_A <= 2'h0;
  else if (HKGrant) State_A <= State_A + 1;  
end

endmodule
  • 2
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值