Count10 计数器

该博客介绍了使用Verilog HDL设计一个同步复位的4位计数器模块。模块包含输入时钟 clk、同步高电平复位 reset 和输出寄存器 q。在时钟上升沿,如果复位有效,则计数器清零;否则,当计数值达到9时回零,否则加1。这个设计适用于数字逻辑和FPGA/CPLD应用。
摘要由CSDN通过智能技术生成

题目:
在这里插入图片描述

module top_module (
    input clk,
    input reset,        // Synchronous active-high reset
    output reg [3:0] q);

    always@(posedge clk) begin
        if(reset)
            q <= 0;
        else begin
            
            if (q == 9)
                q <= 0;
            else if (q <= 8)
            	q <= q + 1;
                
        end
    end
    
endmodule

在这里插入图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值