利用modelsim波形显示字符【附代码】

利用modelsim波形显示字符【附代码】

 

这个小工程利用modelsim仿真波形图案来显示字符。效果如下,送给正在复习备考的同学,坚持就是胜利:

 

用到的工具如下:

---PCtoLCD2002 : 产生显示用的字符点阵

---Quartus:代码编写,仿真文件生成。

---Modelsim:生成要显示的字符图案。

 

1,字符点阵产生 

PCtoLCD2002端设置如下: 

 

 

生成字符点阵: 

 

 

保持字模后,生成的字符点阵文件如下: 

 

 

2,字符点阵数据处理

将字符点阵文件中的提示信息,备注,标点符号等全部删除,只留下点阵的编码。并将相邻奇偶两行的数据调整到一行。效果如下: 

 

 

将处理后的字符文件重命名为  char_code.hex 。 

3,代码编辑

 

(1,)字符点阵数据储存

利用BRAM模块来储存字符点阵数据。利用 readmemh 函数加载字符点阵数据。 

(2)波形生成

 

将从BRAM模块中读出的数据与时钟信号按位相与即可。 

 

4,仿真

编写仿真文件,运行仿真,展开 out 信号,缩放到合适比例,即可看到字符显示。效果即同文章开头所示。

【注】本工程是利用quartus设置的仿真环境,在仿真设置时,最好将 char_code.hex 也添加进仿真文件列表中,仿真仿真软件找不到该文件。

  

 

更多细节请查看源代码!

源代码链接  https://github.com/WayneGong/char_display

 

关注公众号:“数字积木”,获取更多精彩内容,技术干货。

  • 2
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值