Vivado软件(用VerilogHDL)如何使用$readmemh和$readmemb函数

0 前言

博主我查了很多资料,虽然会使用Verilog的 r e a d m e m h 和 readmemh和 readmemhreadmemb函数,可是,在vivado软件中怎么用?文件放在哪里?没有一篇文章提及。

花了几个小时,终于研究明白了,特此记录下来,也希望为后来者提供帮助。

对于函数使用的讲解,不再赘述,其他博主写的很好了,点此查看即可

这里重点强调,文件放在哪里!

1. 两种文件访问方式

1.1 默认路径访问

reg [31:0] rom [31:0];

initial     
    $readmemh ( "rom.txt",rom);

对于上述表示方式rom.txt,这个文件的位置,必须放在指定文件夹内

  1. 打开vivado工程文件的路径
    在这里插入图片描述
    进入sim文件夹,然后依次进入sim_1behavxsim
  2. 在此文件夹内,建立rom.txt文件
    在这里插入图片描述
    在这里建立rom.txt文件即可,之后仿真的时候,就可以正常调用数据了。

1.2 绝对路径访问

    reg [31:0] rom [31:0];
    initial     
        $readmemh ( "D:/myCollege/ComputerOrganization/VerilogDesign/test_readmemh/rom.txt",rom);

如上所示,直接给出文件的绝对路径,这样就可以任意设定rom.txt文件的位置。

补充学习链接

注意:斜杠一定要是/,直接复制过来的win路径不是这个,需要修改。

1.3 相对路径访问

这个与win和Linux的cd命令,访问文件的方式一样,不再多说。
在这里插入图片描述
通过上面的指令,你就可以把数据文件放在最表面的位置,好找。
在这里插入图片描述

2 教训

在这里插入图片描述
在这里插入图片描述

  1. 大小写错了
  2. 报错没看懂
  3. 报错一直在找文件位置的问题,但是这玩意之前解决过阿……

首先,大小写不该错,但是真错了,是很难看的出来……

其次,报错含义:dataROm未声明可能C++能看到,到Verilog咋就看不懂了??以后心态放平和。

找错注意:2个属性,这个不是,那就是另外一个

最后,文件位置之前解决了,还记录在本文,第31行一共就2个属性,非抓第一个不放干嘛。

好了,以后不要再犯这种愚蠢的错误了。

Xilinx FPGA(可编程逻辑门阵列)是一种可在硬件级别进行编程和配置的集成电路器件。它明显区别于传统的固定功能芯片,如微处理器和数字信号处理器。Xilinx FPGA的关键特性是它的可重构性,即它可以在设计阶段进行逻辑功能的重新配置和修改。 Xilinx FPGA的原理是基于可编程逻辑门阵列的概念,该概念指的是一种由可编程门和可编程互连构成的结构。可编程门可以根据设计者的需求进行逻辑功能的编程,而可编程互连可以将这些门按需连接起来,实现特定的功能。这种可定制性使得Xilinx FPGA可以满足各种不同应用的需求。 Vivado是Xilinx公司提供的一种基于图形化界面的设计工具。它可以帮助设计者在FPGA中进行寄存器传输级(RTL)的设计,并进行逻辑综合、约束和实现等工作。Vivado具有友好的用户界面和丰富的功能,能够大大简化FPGA设计的过程。 Verilog HDL(硬件描述语言)是一种用于FPGA设计的编程语言。它具有结构化、模块化和并发的特性,可以实现复杂的硬件功能描述。在Xilinx FPGA的设计中,Verilog HDL常用于编写和描述FPGA中各个模块的行为和互连关系。 通过结合Vivado工具和Verilog HDL编程,设计者可以进行Xilinx FPGA的设计和实践。首先,设计者可以使用Vivado的图形界面进行FPGA设计的各个阶段,如逻辑综合、约束和布局布线。然后,设计者可以使用Verilog HDL编写各个模块的行为和互连描述,并将其集成到整个FPGA设计中。设计者还可以使用Vivado提供的仿真功能,验证设计的正确性和性能。 总的来说,学习和应用Xilinx FPGA原理和实践需要理解可编程逻辑门阵列的基本概念,并掌握Vivado工具和Verilog HDL编程。这将使设计者能够进行高级的硬件设计,实现各种应用的需求。
评论 13
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

XV_

感谢您的认可,我会继续努力!

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值