FPGA Vivado 真双口RAM

1.Block RAM设置

 

 

  

2.Design source

ram_test.v

`timescale 1ns / 1ps
module ram_test(
			clk,		          	//50MHz时钟
			rst_n,
			w_addr,
			w_data,
			wea,
			r_addr,
			r_data	             	//复位信号,低电平有效	
		);
input clk;
input rst_n;
output reg		[8:0]  		w_addr;	   		//RAM PORTA写地址
output reg		[15:0] 		w_data;	   		//RAM PORTA写数据
output reg 	      		wea;	    	//RAM PORTA使能
output reg		[8:0]  		r_addr;	  	 	//RAM PORTB读地址
output wire	[15:0] 		r_data;			//RAM PORTB读数据

//产生RAM PORTB读地址
always @(posedge clk or negedge rst_n)
begin
  if(!rst_n) 
	r_addr <= 9'd0;
	/*
    这里是为了让读地址比写地址延迟一个周期
    你可能会想,当w_addr=1不等于9'd0的时候,r_addr不是也加1变成1了吗?
    怎么就实现了延迟一个时钟周期了呢?
    那是因为r_addr是寄存器类型的变量,只有在下一个时钟周期才能采集到此时的值。
  */
  else if (|w_addr)			//w_addr位或,不等于0
    r_addr <= r_addr+1'b1;
  else
	r_addr <= 9'd0;	
end

//产生RAM PORTA写使能信号
always@(posedge clk or negedge rst_n)
begin	
  if(!rst_n) 
  	  wea <= 1'b0;
  else 
  begin
     if(&w_addr) 	//w_addr的bit位全为1,共写入512个数据,写入完成
        wea <= 1'b0;                 
     else               
        wea	<= 1'b1;  //ram写使能
  end 
end 

//产生RAM PORTA写入的地址及数据
always@(posedge clk or negedge rst_n)
begin	
  if(!rst_n) 
    begin
      w_addr <= 9'd0;
      w_data <= 16'd0;
    end
  else 
  begin
    if(wea)//ram写使能有效
      begin        
        //当写地址全为1的时候,说明已经写够512个数据,就可以不用写使能了。
        if (&w_addr)			
          begin
          w_addr <= w_addr ;	//将地址和数据的值保持住,只写一次RAM
          w_data <= w_data ;
          end
        else
          begin
          w_addr <= w_addr + 1'b1;
          w_data <= w_data + 1'b1;
          end
      end
  end 
end 

//实例化RAM	
ram_ip ram_ip_inst (
  .clka      (clk          ),     // input clka
  .wea       (wea          ),     // input [0 : 0] wea
  .addra     (w_addr       ),     // input [8 : 0] addra
  .dina      (w_data       ),     // input [15 : 0] dina
  .clkb      (clk          ),     // input clkb
  .addrb     (r_addr       ),     // input [8 : 0] addrb
  .doutb     (r_data       )      // output [15 : 0] doutb
);

endmodule

3.Simulation source

vtf_ram_tb.v

`timescale 1ns / 1ps
module vtf_ram_tb;
// Inputs
reg clk;
reg rst_n;
wire [8:0] w_addr;
wire [15:0] w_data;
wire wea;
wire [8:0] r_addr;
wire [15:0] r_data;


// Instantiate the Unit Under Test (UUT)
ram_test uut (
	.clk	(clk), 		
	.rst_n	(rst_n),
	.w_addr(w_addr)     ,
    .w_data(w_data)     ,
    .wea   (wea)     ,   
    .r_addr(r_addr)     ,
    .r_data(r_data)	
);

initial 
begin
	// Initialize Inputs
	clk = 0;
	rst_n = 0;

	// Wait 100 ns for global reset to finish
	#100;
      rst_n = 1;       

 end

always #10 clk = ~ clk;   //20ns一个周期,产生50MHz时钟源
   
endmodule

4.仿真图

  • 1
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
Vivado双口 RAM IP 核是通过使用 Vivado 软件中的 RAM IP 核来实现的。伪双口 RAM 具有两个端口,但只能在一个时钟上进行读写操作。其中一个端口可以同时进行读写操作,而另一个端口只能进行读出操作。这种类型的 RAM 可以用于并行处理或者需要同时读写的应用场景。 在 Vivado 中,通过使用 RAM IP 核并选择相应的配置参数,可以生成伪双口 RAM IP 核。根据你提供的引用中的信息,VivadoRAM IP 核可以生成多种不同类型的内存空间,包括单口 RAM、简化双口 RAM双口 RAM。其中,伪双口 RAM 是其中之一。 如果你需要使用 Vivado 中的伪双口 RAM IP 核,你可以按照以下步骤进行操作: 1. 打开 Vivado 软件并创建一个新的项目。 2. 在设计页面中,点击工具栏上的 "IP Integrator" 图标,进入 IP Integrator 界面。 3. 在 IP Integrator 中,点击 "Add IP" 按钮,并选择 "RAM" 类别下的 "RAM" IP 核。 4. 在 IP 配置页面中,选择 "伪双口 RAM" 作为 RAM 的类型。 5. 根据你的需求,进行其他参数的配置,如数据位宽、地址位宽等。 6. 完成配置后,点击 "OK" 按钮,将伪双口 RAM IP 核添加到设计中。 7. 连接适当的时钟和控制信号,并进行其他必要的连接。 8. 生成 Bitstream 并下载到目标设备中进行仿或部署。 需要注意的是,根据你提供的引用中的信息,在仿中可能只使用了一个端口进行读写操作。因此,在使用 Vivado 的伪双口 RAM IP 核时,你可能需要根据具体的应用需求和设计要求确定是否需要使用两个端口进行读写操作。 : 使用 Vivado 软件中的 RAM 的 IP 核实现双口 RAM 的仿 : Vivado双口 RAM 的 IP 核是通过 Block Memory Generator 产生的,其中包含单口 RAM、简化双口 RAM双口 RAM : Xilinx 官方例程中使用寄存器构建了一个双口 RAM 的模块,并提供了相应的代码示例。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值