30--移位寄存器


module shifter(din,clk,clr,dout); 

input din,clk,clr; 

output[7:0] dout; 

reg[7:0] dout; 

always @(posedge clk) 

     begin 

      if (clr)  dout<= 8'b0;                    //同步清0,高电平有效 

      else 

          begin 

          dout <= dout << 1;                    //输出信号左移一位 

              dout[0] <= din;                      //输入信号补充到输出信号的最低位 

              end 

         end 

    endmodule 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值