设计四位移位寄存器shifter_4

本文介绍了使用四个D触发器构建的四位移位寄存器,当时钟上升沿到来时,数据依次移位。设计要求包括:通过din输入数据,dout串行移出数据,异步复位后寄存器初始值为4'b0101。重点提及了Verilog中的拼接运算符{}在移位操作中的应用,并预告将分享流水灯程序。
摘要由CSDN通过智能技术生成

四位移位寄存器:由四个D触发器组成,当每来一个时钟上升沿时,四个触发器分别执行一次移位

 

要求
每个周期通过数据输入端din送入一比特数据
每个周期通过数据输出端dout串行移出一比特数据
异步复位后四位寄存器的值为4’b0101LSb优先移出

感觉

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值