FPGA入门笔记,用quartusII创建工程仿真综合视图

FPGA入门笔记

安装Quartus II并创建工程本篇文章只适用于初学者,如有雷同联系作者侵删~

下载链接:https://pan.baidu.com/s/11msYgwbDZykVuJZxg6C1zw
提取码:bx83 复制这段内容后打开百度网盘手机App,操作更方便哦~
学生党在机房应该都有这个软件安装包,下载好之后创建工程:
打开Quartus ll,创建新的工程。
选择新建工程
自己选择路径
选好路径直接下一步,下一步
![在这里插入图片描述](https://img-blog.csdnimg.cn/20201120184307261.png?x-oss-process=image/watermark,type_ZmFuZ3poZW5naGVpdGk,shadow_10,text
_aHR0cHM6Ly9ibG9nLmNzZG4ubmV0L3dlaXhpbl80MzM5MjY3Ng==,size_16,color_FFFFFF,t_70#pic_center)

下一步
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述![在这里插入图片描述](https://img-blog.csdnimg.cn/20201120184616611.png?x-oss-process=image/watermark,type_ZmFuZ3poZW5naGVpdGk,shadow_10,text_aHR0cHM6Ly9ibG9nLm在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

在这里插入图片描述
在这里插入图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值