三态门的设计

//设计一个三态门电路,可以实现数据的输出和总线“挂起”

module santai_gata(
	input					clk,
	input					rst_n,
	input					data_buf,
	inout				   sda
);

reg flag;
reg [10:0] counter;
//当flag ==1,sda等于待发送的data_buf(此时sda为相当于output型),
//当flag ==0,sda的值变成高阻态(此时sda为相当于input型)
assign sda=(flag)?data_buf:1'bz;

always@(posedge clk or negedge rst_n)
	if(!rst_n)
		counter<=0;
	else begin
		if(counter<25)
			counter<=counter+1;
		else
			counter<=0;
	end
	
always@(posedge clk or negedge rst_n)
	if(!rst_n)
		flag<=0;
	else begin
		if(counter==25)
			flag<=~flag;
	end

endmodule

 

`timescale 1ns/1ns
module santai_gata_tb;
	reg					clk;
	reg					rst_n;
	reg					data_buf;
	wire				   sda;

initial begin
	clk=0;
	rst_n=0;
	data_buf=0;
	#200.1;
	rst_n=1;
	
	#1000 data_buf=1;
	#1000 data_buf=0;
	#1000 data_buf=1;
	#1000 data_buf=0;
	#1000 data_buf=1;
end

always #10 clk=~clk;



santai_gata santai_gata(
	.clk(clk),
	.rst_n(rst_n),
	.data_buf(data_buf),
	. sda(sda)
);


endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值