MMCM 动态调相

参考:UG472.Ch3

UI设置:

当PSINCDEC为电平时,每来一个psen脉冲,输出时钟相位相对于输入  增加

当PSINCDEC为 电平时,每来一个psen脉冲,输出时钟相位相对于输入  减少 

一次psen脉冲引起的时钟相位偏移量为VCO 震荡周期的 \frac{1}{56}

psen脉冲后的12个PSCLK周期相移完成,然后psdone拉高一个时钟周期

支持360°调相,调相满一个整周期后并不会出错或停滞,而是进入下一个周期的调相循环

  • 3
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
在Verilog中,MMCM(Mixed-Mode Clock Manager)是一种用于时钟管理和偏移控制的IP核。它是7系列FPGA中每个时钟区域的一部分,由一个MMCM和一个PLL(Phase-Locked Loop)组成\[2\]。PLL是一种控制反馈电路,用于对时钟网络进行系统级别的时钟管理和偏移控制,具有时钟倍频、分频、相位偏移和可编程占空比的功能\[2\]。而MMCM是一种混合模式时钟管理器,相当于能够进行精准相移的PLL\[2\]。MMCM相对于PLL的优势在于可以动态调整相位,但占用的面积更小\[2\]。 在Vivado中,可以使用PLL IP核来创建和配置MMCM。PLL IP核可以通过输入全局缓冲(IBUFG)来接收时钟信号,并提供多个时钟输出端口,可以根据需要进行时钟倍频、分频和相位偏移等设置\[3\]。 因此,如果你想在Verilog中使用MMCM,你可以使用Vivado中的PLL IP核来创建和配置它。你可以根据需要设置时钟输入和输出的参数,以满足你的设计需求。 #### 引用[.reference_title] - *1* [从底层结构开始学习FPGA(16)----PLL/MMCM IP的定制与测试](https://blog.csdn.net/wuzhikaidetb/article/details/125976192)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down28v1,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* *3* [MMCM/PLL学习](https://blog.csdn.net/qq_41320782/article/details/125117618)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down28v1,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值