锁存器与触发器

锁存器与触发器

锁存器

module latch (
    // input
    input clk,//50MHz
    input a,
    input b,
    // output
    output reg y
);

always @(*) begin
    //if 完整与否
    /*
    if(a == 1)
        y = b;
    // 加上else,就不产生latch
    else 
        y = 0;
    */
    //case 完整与否
    case(a)
        0:y = b;
        //加上default,不产生latch
        default:y = 0;
    endcase
end

endmodule

在这里插入图片描述

触发器

// dw20221015
// 使用Verilog语言设计一个触发器电路
module flip_flop (
    //input 
    input clk,//50MHz
    input rst_n,
    input a,
    // output
    output reg y

);

// main code

always @(posedge clk or negedge rst_n) begin
    if(rst_n == 1'b0)
        y <= 1'b0;
    else
        y <= a;
end
    
endmodule
`timescale 1ns/1ns

module tb_flip_flop();

// input
reg sys_clk;
reg sys_rst_n;
reg a;
// output
wire y;

initial begin
    sys_clk = 1'b0;
    sys_rst_n = 1'b0;
    a = 1'b0;
    #200 
    sys_rst_n = 1'b1;
    #100
    a = 1'b1;
    #60 a = 1'b0;

end

always #10 sys_clk = ~sys_clk;

flip_flop flip_flop_inst0(
    .clk(sys_clk),
    .rst_n(sys_rst_n),
    .a(a),
    .y(y)
);
endmodule

在这里插入图片描述

仅作记录。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值