AXI总线及DMA中的仲裁机制

哪儿需要仲裁?

提示:发起请求者称为client,可以为AXI master, AXI slave 或者为DMA通道请求者

有多个请求同时发生,请求通过同一个通道进行数据传输。

AXI互联总线中,多个master多同一个slave进行写请求或者多个slave对同一个master进行读数据返回时,需要仲裁。

仲裁的种类

提示:这里可以添加技术整体架构

固定优先级的仲裁机制

为每个client 分配固定的优先级编号,比如0 1 2 3 4 这样的数字。 该类型的仲裁机制可以按照最小的优先级或者最大优先级grant 请求。 当多个client 有相同的优先级请求时,仲裁器按照收到请求的索引值grant 仲裁请求。
在这里插入图片描述

先到先服务仲裁机制

等待最久的请求获得最高优先级,优先被grant.最新收到请求获取最低优先级。
若两个请求同时发生,则根据请求的index 值进行grant。
在这里插入图片描述

Round Robin仲裁机制

多个优先级坑位从最高优先级到最低优先级首位相接。

  • 3
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值