verilog中的有符号数---原码、反码、补码

本文介绍了Verilog中wire和reg类型的默认无符号数以及如何声明有符号数。在Verilog中,无符号数的转换直接遵循二进制到十进制的规则,而有符号数采用补码表示。补码第一位为0表示正数,遵循相同转换规则;为1则表示负数,需要通过特定的计算方式(反码加1)来转换为十进制。此外,文章还简述了原码、反码的概念,并通过案例展示了补码的计算过程。
摘要由CSDN通过智能技术生成

1.废话:在进行项目编写的时候发现需要用到补码以表示负数,即使用有符号数。

2.正题:

① 有符号数与无符号数

verilog中的 wire、reg 型变量未特殊申明的情况下默认为无符号数类型;

例如:

wire  [4:0] a = 5'b10110;
reg   [4:0] b = 5'b11011;

其大小转换为十进制规则为:

而对于有符号数类型,则需在申明时额外加上 signed 申明,其为二进制格式下的补码表示形式;

例如:

wire signed [4:0] a ;
reg  signed [4:0] b ;

由于是补码形式,如果第一位数据为 0,表示为正数,其十进制大小的换算仍然用上面的计算规则;如果第一位数据为1,表示为负数,其十进制大小换算规则如下:

 

注: 注意累加上限为 B-1,因补码第一位是标志位,不表示数据大小。

②原码

最高位符号位,0代表正数,1代表负数,非符号位为该数字绝对值的二进制

③反码

正数的反码与原码一致,负数的反码是对原码按位取反,只是最高位(符号位)不变

④补码

正数的补码与原码一致,负数的补码是该数的反码加1

直接上案例:

对于其计算过程:

 

 

关于原码、反码、补码的介绍参考blog:原码,反码,补码的概念

  • 4
    点赞
  • 24
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值