verilog中的有符号数---原码、反码、补码

1.废话:在进行项目编写的时候发现需要用到补码以表示负数,即使用有符号数。

2.正题:

① 有符号数与无符号数

verilog中的 wire、reg 型变量未特殊申明的情况下默认为无符号数类型;

例如:

wire  [4:0] a = 5'b10110;
reg   [4:0] b = 5'b11011;

其大小转换为十进制规则为:

而对于有符号数类型,则需在申明时额外加上 signed 申明,其为二进制格式下的补码表示形式;

例如:

wire signed [4:0] a ;
reg  signed [4:0] b ;

由于是补码形式,如果第一位数据为 0,表示为正数,其十进制大小的换算仍然用上面的计算规则;如果第一位数据为1,表示为负数,其十进制大小换算规则如下:

 

注: 注意累加上限为 B-1,因补码第一位是标志位,不表示数据大小。

②原码

最高位符号位,0代表正数,1代表负数,非符号位为该数字绝对值的二进制

③反码

正数的反码与原码一致,负数的反码是对原码按位取反,只是最高位(符号位)不变

④补码

正数的补码与原码一致,负数的补码是该数的反码加1

直接上案例:

对于其计算过程:

 

 

关于原码、反码、补码的介绍参考blog:原码,反码,补码的概念

  • 4
    点赞
  • 24
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Verilog,可以使用有符号进行累加运算。有符号是使用补码表示的,其范围通常是从最小负到最大正。为了设计一个有符号累加器,你可以使用有符号输入和输出端口,并在接收到有效据时进行累加运算。具体而言,你可以使用一个输入端口i_data来接收-8到7范围内的有符号,使用一个i_valid端口来表示输入据的有效性。当接收到4个据后,可以进行有符号累加运算,并将结果输出到o_data端口。同时,拉高o_ready线来指示累加器已经准备好输出结果。这样,你就可以在Verilog实现有符号的累加器功能。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *3* [Verilog 和VHDL有符号和无符号相关运算](https://blog.csdn.net/yh13572438258/article/details/125526450)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* [verilog符号加法器设计](https://download.csdn.net/download/qq_42025108/16392840)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值