基于vivado2017.4的 pynq-z2 overlay设计流程

1、创建工程
2、创建block design
3、添加自定义ip的路径,以便在block design中调用
在这里插入图片描述
在这里插入图片描述
4、在block design中添加各个ip(vivado自带)
5、配置GPIO,并自动连线,优化路径
在这里插入图片描述
6、添加自定义ip,并连线
在这里插入图片描述
7、生成HDL wrapper、综合、生成bit流
8、生成.tcl文件
在这里插入图片描述
9、将此路径下的.bit文件复制出来,并重命名,与.tcl名字要一致
在这里插入图片描述
在这里插入图片描述
10、登陆jupyter,创建一个文件夹,将.bit、.tcl文件上传至pynq端,创建python文件
在这里插入图片描述
11、python调用
在这里插入图片描述
完成!!!!!!!!!!!!

  • 0
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值