ZYNQ7000 (三):PL部分创建一个流水灯

该博客介绍了如何使用VIVADO开发环境在ZYNQ7 FPGA上创建一个流水灯项目。过程包括新建工程、添加源文件、编写Verilog代码、设置约束文件以及生成并下载比特流文件到开发板。通过这个实践,读者将了解到FPGA项目的开发流程和硬件描述语言的应用。
摘要由CSDN通过智能技术生成

视频三:PL部分创建一个流水灯

PL部分就是Programmable Logic
的缩写,就是可编程逻辑部分。这里就是将ZYNQ7当做一个单纯的FPGA来使用。之用VIVADO开发环境,因为不牵扯处理器的软件开发,所以不使用SDK环境。写好VERILOG代码,对应好引脚对应文件就可以。

1.创建工程:

新建项目(Create a new project)→项目名与路径不要有中文名

在这里插入图片描述

→RTL Project →选择默认语言

在这里插入图片描述

→选择芯片:(可以选择开发板,选择后系列信息就不用选,但通常我们应该一个一个的写)

在这里插入图片描述

基本FPGA项目一般包含两部分:逻辑原代码:Design Sources;

约束文件:Consreaints文件目录里边(原代码信号名与芯片的管脚对应关系)

在这里插入图片描述

2.增加sources:

→点中Design Source→右键→Add sources→Add or create design sources

→Create File(或者如果有文件是选择:Add
Files)→IO创建中可以创建IO口但没有必要,程序中会写明IO口

→OK→Finish

→进入Design Sources文件夹,会多一个刚增加的文件\PL_LED_TEST。

→写入VerilogHDL程序代码(本练习是在里面拷贝一个led显示程序,程序如下:

module PL_LED_TEST(clk, rst, LED );

input clk, input rst;

output reg [7:0]LED

reg [31:0] cntr ;

always @ (posedge clk)if ( rst ) cntr<=0;else cntr <=cntr+1 ;

always @ (posedge clk)LED <= cntr[24:17] ;

endmodule

3.加约束文件

→点中constrs_1→右键→Add Files→Add or create constraints

→Create File(或者如果有文件是选择:Add Files)

→输入文件名:PL_PINS_SET→OK

→进入constrs_1文件夹,会多一个刚增加的文件\PL_PINS_TEST。

→写入约束程序代码(本练习是在里面拷贝一个led显示程序,程序如下:

set_property PACKAGE_PIN Y9 [get_ports {clk}]

set_property IOSTANDARD LVCMOS33 [get_ports {clk}]//
clk引脚电平标准为3.3伏(bank的电平标准)

set_property PACKAGE_PIN N15 [get_ports {rst}]

set_property IOSTANDARD LVCMOS18 [get_ports {rst}] //电平标准为1.8伏

set_property PACKAGE_PIN T22 [get_ports {LED[0]}]

set_property IOSTANDARD LVCMOS33 [get_ports {LED[0]}]

set_property PACKAGE_PIN T21 [get_ports {LED[1]}]

set_property IOSTANDARD LVCMOS33 [get_ports {LED[1]}]

set_property PACKAGE_PIN U22 [get_ports {LED[2]}]

set_property IOSTANDARD LVCMOS33 [get_ports {LED[2]}]

set_property PACKAGE_PIN U21 [get_ports {LED[3]}]

set_property IOSTANDARD LVCMOS33 [get_ports {LED[3]}]

set_property PACKAGE_PIN V22 [get_ports {LED[4]}]

set_property IOSTANDARD LVCMOS33 [get_ports {LED[4]}]

set_property IOSTANDARD LVCMOS33 [get_ports {LED[5]}]

set_property PACKAGE_PIN W22 [get_ports {LED[5]}]

set_property PACKAGE_PIN U19 [get_ports {LED[6]}]

set_property IOSTANDARD LVCMOS33 [get_ports {LED[6]}]

set_property IOSTANDARD LVCMOS33 [get_ports {LED[7]}]

set_property PACKAGE_PIN U14 [get_ports {LED[7]}]

程序说明:第一部分是信号名对应管脚号;第二部分是此管脚号对应的电压值
具体情况参照硬件资源

→保存所有文件:Save All Files

4.生成(bitstream)比特留文件下载到板子上

→直接点击(左下角):Generate Bitstream(需要一些时间)

在这里插入图片描述

完了过后,显示如下:

在这里插入图片描述

→选中:Open Hardware Manager(一般用Open Hardware Manager下载,
也可以使用ise里面的impact下载)

→OK

5.建立连接

5.1建立一个hard ware manager

在这里插入图片描述

选择:local server(注意开发板上电)

在这里插入图片描述

进入界面

在这里插入图片描述

完成后进入原始界面:

在这里插入图片描述

6.关联bit文件,进行下载

→选中芯片cx7z020_1→右键→Program Device,进入下面界面:

在这里插入图片描述

→上图中Bitstram file 后边更改指定路径,找到本工程的Bitstram file

→点击Program 进行文件下载

→开发板上能够看到流水灯闪烁
ogram Device,进入下面界面:

[外链图片转存中…(img-TIlCT6Lj-1619533050601)]

→上图中Bitstram file 后边更改指定路径,找到本工程的Bitstram file

→点击Program 进行文件下载

→开发板上能够看到流水灯闪烁

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值