详解ASIC设计流程及用到得EDA工具

一、引言

ASIC即(Application Specific Integrated Circuit)专用集成电路。
IC设计可以分为两个部分:前端设计(逻辑设计)和后端设计(物理设计),这两个部分并没有统一严格的界限,凡涉及到工艺相关的设计可以称为后端设计。

二、前端设计流程

1.规格制定
芯片规格也像功能列表一样,是客户向芯片公司提出的设计要求,包括芯片需要达到的具体功能和性能方面的要求。
2.详细设计
根据客户提出的规格要求,拿出设计解决方案和具体时间架构,划分模块功能。
3.HDL编码
使用硬件描述语言(VHDL,Verilog HDL)。
4.仿真验证
工具:Mentor公司的Modelsim,Synopsys的VCS,还有Cadence的NC-Verilog均可以对RTL级代码进行验证。
5.逻辑综合
逻辑综合就是把设计实现的HDL代码翻译成门级网表netlist。综合需要设定约束条件,就是你希望综合出来的电路在面积、时序等目标参数上达到的标准。逻辑综合需要基于特定的综合库,不同的库中,门电路基本标准单元的面积,时序参数是不一样的。
6.STA(静态时序分析)state timing analysis
从时序上对电路进行验证,检查电路是否存在建立时间(setup time)和保持时间(hold time)的违例。
工具:Synops

  • 4
    点赞
  • 54
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值