一、ROM类型,单端口、双端口
二、端口设置
三、初始化
备注:勾选下面这个选项,无数据可以补充0
四、生成数据,做导入
注意位宽和深度要匹配
五、编写代码
`timescale 1ns / 1ps
module rom_d1(
input sysclk
);
reg [7:0]addra=8'b00000000;
wire [7:0]douta;
always@(posedge sysclk)
addra <= addra+1;
always@()
blk_mem_gen_0 your_instance_name (
.clka(sysclk), // input wire clka
.addra(addra), // input wire [7 : 0] addra
.douta(douta) // output wire [7 : 0] douta
);
endmodule
六、仿真