Quartus-II入门实战

本文档详细介绍了如何使用Quartus-II软件设计和仿真D触发器。从创建工程开始,逐步讲解新建原理图文件、编译、创建波形文件以及进行波形仿真的全过程。在实践中,既涵盖了手动设计D触发器,也演示了直接调用预定义D触发器电路的方法。
摘要由CSDN通过智能技术生成

一、什么是D触发器

D触发器是一个具有记忆功能的,具有两个稳定状态的信息存储器件,是构成多种时序电路的最基本逻辑单元,也是数字逻辑电路中一种重要的单元电路。

在这里插入图片描述

二、设计一个D触发器,并进行仿真,时序波形验证

1.创建工程

在这里插入图片描述
填写项目名称及位置
在这里插入图片描述
选择芯片系列及类型在这里插入图片描述
因为输入原理图以及用波形文件来仿真,所以EDA工具-simulation中选None
在这里插入图片描述
点finish,完成工程创建

2.新建一个原理图文件

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值