逻辑互斥和物理互斥的约束

仔细讨论下逻辑互斥和物理互斥的区别。

logical exclusive 

如果一个电路中有两个 clock, 但是有一个选择信号控制这两个 clock,如下图:

这样的两个 clock 是应该声明成 logical exclusive 的,命令如下:

create_clock -period 10 -name C1 -waveform {0 5} [get_ports C1]
create_clock -period 20 -name C2 -waveform {0 12} [get_ports C2]
set_clock_groups -logically_exclusive -group C1 -group C2

 physical exclusive 

如果两个 clock 定义在同一个端口上,那么这两个 clock 在物理层面就是不可能同时存在的,此时就需要声明成 physical exclusive 

比如在如下电路中,由于 C1 C2 在 F3 F4 之间是有交互的,而在 F1 F2 之间,只能是 C1-C1 或者 C2-C2,不可能出现 C1-C2 或 C2-C1 

这时就需要在 MUX 的输出端创建两个 generated clock,然后声明成 physical exclusive 

复制代码

create_clock -period 10 -name C1 -waveform {0 5  } [get_ports C1]
create_clock -period 20 -name C2 -waveform {0 12} [get_ports C2]

create_generated_clock -name GC1 -divide_by 1 \
       -source [get_pins mux1/A] [get_pins mux1/Z] -combinational
create_generated_clock -name GC2 -divide_by 1 \
       -source [get_pins mux1/B] [get_pins mux1/Z] -combinational -add

set_clock_groups -physically_exclusive -group GC1 -group GC2
  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值