第14篇:2线-4线译码器

Q:有编码器那对应的就会有译码器,本期我们来设计实现2线-4线二进制译码器 。

A:基本原理:译码器是编码器的逆过程,其功能是将具有特定含义的二进制码转换为对应的输出信号。2线-4线二进制译码器有2个输入共4种不同的组合状态,因此可以解码出4组输出信号。这里我们还设置使能信号,只有使能信号为“1”时译码器才会工作,否则输出全为1。

用Verilog过程结构always表示部分代码:

使用DE2-115开发板的SW[1:0]作为输入,SW[2]为使能信号输入,LEDR[3:0]显示译码器输出值,在顶层.v文件中例化2线-4线译码器。

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值