VLSI基础——CMOS反相器


一、CMOS反相器工作原理

  CMOS反相器由NMOS和PMOS组成,如图1所示。PMOS和NMOS的衬底分开,PMOS的衬底接最高电位 V D D V_{DD} VDD,NMOS的衬底接最低电位地GND。NMOS的源极接地,漏极接高电位 V o V_o Vo,PMOS的源极接 V D D V_{DD} VDD,漏极接低电位 V o V_o Vo。NMOS和PMOS管的栅极同时接输入信号 V i V_i Vi C L C_L CL为负载电容。
请添加图片描述

  如图2(a),当 V i n : V D D → 0 V_{in}: V_{DD}→0 Vin:VDD0(逻辑1变逻辑0)时,上拉PMOS开始工作,NMOS断开,向负载电容 C L C_L CL充电至 V D D V_{DD} VDD,输出 V o u t : 0 → V D D V_{out}: 0→V_{DD} Vout:0VDD(逻辑0变逻辑1)。
  如图2(b),当 V i n : 0 → V D D V_{in}: 0→V_{DD} Vin:0VDD(逻辑0变逻辑1)时,下拉NMOS开始工作,PMOS断开,负载电容 C L C_L CL放电至0V,输出 V o u t : V D D → 0 V_{out}: V_{DD}→0 Vout:VDD0(逻辑1变逻辑0)。
在这里插入图片描述

二、CMOS反相器静态特性

  基于图1电路和MOS管的输出特性曲线,可以得到图3。
请添加图片描述

  如图4,通过公式转换,将PMOS管的输出特性曲线转换到与NMOS管相同的坐标系。
请添加图片描述

  根据图解法叠加NMOS和PMOS管的输出特性曲线可以得到,CMOS反相器的负载曲线
请添加图片描述

  由于通过NMOS和PMOS器件的电流相等且输入 V i n V_{in} Vin相同,可以得到图5中6个圆点,并将圆点处的 V i n V_{in} Vin V o u t V_{out} Vout整理可以得到反相器的电压传输特性曲线,如图6。
请添加图片描述

三、CMOS反相器动态特性

时延参数

  器件的时延表示一个信号通过一个器件所经历的时间,为器件一种动态特性。时延参数包括上升时间 t r t_r tr,下降时间 t f t_f tf,上升传输延迟 t p L H t_{pLH} tpLH,下降传输延迟 t p H L t_{pHL} tpHL,如图7。
请添加图片描述

  上升时间 t r t_r tr:假设输出信号 V o u t V_{out} Vout高电平为 V D D V_{DD} VDD,当输出为上升沿时,上升时间 t r t_r tr等于输出信号为10% V D D V_{DD} VDD到输出信号为90% V D D V_{DD} VDD的时间差。
  下降时间 t f t_f tf:假设输出信号 V o u t V_{out} Vout高电平为 V D D V_{DD} VDD,当输出为下降沿时,下降时间 t f t_f tf等于输出信号为90% V D D V_{DD} VDD到输出信号为10% V D D V_{DD} VDD的时间差。
  上升传输延迟 t p L H t_{pLH} tpLH:假设输入信号 V i n V_{in} Vin和输出信号 V o u t V_{out} Vout高电平均为 V D D V_{DD} VDD,当输出为上升沿时,上升传输延迟 t p L H t_{pLH} tpLH等于输入信号为50% V D D V_{DD} VDD到输出信号为50% V D D V_{DD} VDD的时间差。
  下降传输延迟 t p H L t_{pHL} tpHL:假设输入信号 V i n V_{in} Vin和输出信号 V o u t V_{out} Vout高电平均为 V D D V_{DD} VDD,当输出为下降沿时,下降传输延迟 t p H L t_{pHL} tpHL等于输入信号为50% V D D V_{DD} VDD到输出信号为50% V D D V_{DD} VDD的时间差。

四、上升 t r t_r tr和下降 t f t_f tf时间模型

1. 计算前提

  为了简化计算难度,假设:

  • V i n V_{in} Vin是阶跃的;
  • 忽略MOS本征延迟(intrinsic delay);
  • 负载电容C_L等效为固定电容;

2. 上升时间 t r t_r tr

  当输入信号为由1到0的阶跃信号时,上拉PMOS器件开始工作,NMOS断开,输出为高电平, C L C_L CL开始充电,此时开关模型可以等效为一阶RC网络,如图8。
在这里插入图片描述

  由PMOS对 V o u t V_{out} Vout节点处的电容充电可得流过PMOS的电流 I D P I_{DP} IDP
I D P = C L d V o u t d t I_{DP}=C_L\frac{dV_{out}}{dt} IDP=CLdtdVout
  忽略沟道长度调制效应,NMOS器件的I-V输出特性为
I D = μ n C O X W L [ ( ∣ V G S ∣ − ∣ V T ∣ ) ∣ V D S ∣ − V D S 2 2 ] ∣ V D S ∣ < ∣ V G S ∣ − ∣ V T ∣ ( 线性区 ) I_D= \frac{μ_n C_{OX} W}{L} [(|V_{GS} |-|V_T|)|V_{DS}|- \frac{V_{DS}^2}{2}] |V_{DS}|<|V_{GS} |-|V_T|(线性区) ID=LμnCOXW[(VGSVT)VDS2VDS2]VDS<VGSVT(线性区)
I D = μ n C O X 2 W L ( ∣ V G S ∣ − ∣ V T ∣ ) 2 ∣ V D S ∣ ≥ ∣ V G S ∣ − ∣ V T ∣ ( 饱和区 ) I_D= \frac{μ_n C_{OX}}{2} \frac{W}{L} (|V_{GS}|-|V_T|)^2 |V_{DS}|≥|V_{GS} |-|V_T| (饱和区) ID=2μnCOXLW(VGSVT)2VDSVGSVT(饱和区)
  由于输入信号为由1到0的阶跃信号时,输出由0到1过渡,根据图8可以得到 V o u t = V D D − V D S V_{out}=V_{DD}-V_{DS} Vout=VDDVDS, V G S = V i n − V D D V_{GS}=V_{in}-V_{DD} VGS=VinVDD,由于假设输入信号为理想的阶跃信号,可得 V i n = 0 V V_{in}=0V Vin=0V V G S = − V D D V_{GS}=-V_{DD} VGS=VDD。综上所述 V D S = V D D − V o u t V_{DS}=V_{DD}-V_{out} VDS=VDDVout ∣ V G S ∣ − ∣ V T P ∣ = ∣ V D D ∣ − ∣ V T P ∣ |V_{GS}|-|V_{TP} |=|V_{DD}|-|V_{TP} | VGSVTP=VDDVTP V T P V_{TP} VTP为PMOS管的阈值电压)。所以当 V D D − V o u t ≥ ∣ V D D ∣ − ∣ V T P ∣ V_{DD}-V_{out}≥|V_{DD}|-|V_{TP}| VDDVoutVDDVTP时PMOS处于饱和区,如图 t 1 t_1 t1~ t T P t_{TP} tTP段,当 V D D − V o u t < ∣ V D D ∣ − ∣ V T P ∣ V_{DD}-V_{out}<|V_{DD} |-|V_{TP} | VDDVout<VDDVTP时PMOS处于线性区,如图9中的 t T P t_{TP} tTP~ t 2 t_2 t2段。
在这里插入图片描述

  当 V o u t ≤ ∣ V T P ∣ V_{out}≤|V_{TP} | VoutVTP时,PMOS处于饱和区,根据PMOS的输出特性,此时PMOS的输出电流 I D P I_{DP} IDP
I D P = μ p C o x 2 W L ( V D D − ∣ V T P ∣ ) 2 = C L d V o u t d t I_{DP}= \frac{ μ_p C_ox}{2} \frac{W}{L} (V_{DD}-|V_{TP} |)^2=C_L \frac{dV_out}{dt} IDP=2μpCoxLW(VDDVTP)2=CLdtdVout
  可得
d t = 2 L C L d V o u t μ n C O X W ( V D D − ∣ V T P ∣ ) 2 dt=\frac{2LC_LdV_{out}}{μ_n C_{OX} W(V_{DD}-|V_{TP} |)^2 } dt=μnCOXW(VDDVTP)22LCLdVout
  对 V D D V_{DD} VDD, V T P V_{TP} VTP, V o u t V_{out} Vout进行归一化可得,且 τ r = 2 L C L μ n C O X W V D D , α P = V ∣ T P ∣ V D D τ_r=\frac{2LC_L}{μ_n C_{OX} WV_{DD}} ,α_P= \frac{V_{|TP}|}{V_{DD}} τr=μnCOXWVDD2LCLαP=VDDVTP
d t = 2 L C L d V o u t V D D μ n C O X W V D D 2 ( V D D V D D − ∣ V T P ∣ V D D ) 2 = 2 L C L d u μ n C O X W V D D ( 1 − α P ) 2 = τ r d u ( 1 − α P ) 2 dt=\frac{2LC_Ld\frac{V_out}{V_{DD}}}{μ_n C_{OX} WV_{DD}^2 (\frac{V_{DD}}{V_{DD}} -\frac{|V_{TP}|}{V_{DD}}) ^2 }= \frac{2LC_Ldu}{μ_n C_{OX} WV_{DD} (1-α_P )^2} =\frac{τ_r du}{(1-α_P )^2} dt=μnCOXWVDD2(VDDVDDVDDVTP)22LCLdVDDVout=μnCOXWVDD(1αP)22LCLdu=(1αP)2τrdu
  两边求积分可得
∫ t 1 t T P d t = ∫ u 1 u T P τ r d u ( 1 − α P ) 2 , u T P = α P , u 1 = 0.1 V D D V D D = 0.1 \int_{t_1}^{t_{TP}}dt=\int_{u_1}^{u_{TP}}\frac{τ_r du}{(1-α_P )^2},u_{TP}=α_P,u_1=\frac{0.1V_{DD}}{V_{DD}}=0.1 t1tTPdt=u1uTP(1αP)2τrdu,uTP=αP,u1=VDD0.1VDD=0.1
  可以得到第一段时间
t r 1 = t T P − t 1 = τ r ( α P − u 1 ) ( 1 − α P ) 2 t_{r1}=t_{TP}-t_1=\frac{τ_r(α_P-u_1)}{(1-α_P )^2} tr1=tTPt1=(1αP)2τr(αPu1)
  当 V o u t > ∣ V T P ∣ V_{out}>|V_{TP}| Vout>VTP时,PMOS处于线性区。根据PMOS的输出特性,此时PMOS的输出电路 I D P I_{DP} IDP
I D = μ n C O X W L [ ( ∣ V G S ∣ − ∣ V T P ∣ ) ( V D D − V o u t ) − ( V D D − V o u t ) 2 2 ] = C L V o u t d t I_D= \frac{μ_n C_{OX} W}{L} [(|V_{GS} |-|V_{TP}|)(V_{DD}-V_{out})- \frac{(V_{DD}-V_{out})^2}{2}]=C_L\frac{V_{out}}{dt} ID=LμnCOXW[(VGSVTP)(VDDVout)2(VDDVout)2]=CLdtVout
  通过移位和归一化可得,且 τ r = 2 L C L μ n C O X W V D D , α P = V ∣ T P ∣ V D D τ_r=\frac{2LC_L}{μ_n C_{OX} WV_{DD}} ,α_P= \frac{V_{|TP}|}{V_{DD}} τr=μnCOXWVDD2LCLαP=VDDVTP
d t = τ r d u 2 ( 1 − α P ) ( 1 − u ) − ( 1 − u ) 2 dt= \frac{τ_r du}{2(1-α_P )(1-u)- (1-u) ^2 } dt=2(1αP)(1u)(1u)2τrdu
  两边同时积分可得
∫ t T P t 2 d t = ∫ u T P u 2 τ r d u 2 ( 1 − α P ) ( 1 − u ) − ( 1 − u ) 2 , u T P = α P , u 1 = 0.9 V D D V D D = 0.9 \int_{t_{TP}}^{t_2}dt=\int_{u_{TP}}^{u_{2}}\frac{τ_r du}{2(1-α_P )(1-u)- (1-u) ^2 },u_{TP}=α_P,u_1=\frac{0.9V_{DD}}{V_{DD}}=0.9 tTPt2dt=uTPu22(1αP)(1u)(1u)2τrdu,uTP=αP,u1=VDD0.9VDD=0.9
  可得第二段时间 t r 2 t_{r2} tr2
t r 2 = t 2 − t T P = τ r 2 ( 1 − α P ) 2 l n ( 1 − 2 α P + u 2 1 − u 2 ) t_{r2}=t_{2}-t_{TP}=\frac{τ_r}{2(1-α_P )^2}ln(\frac{1-2α_P+u_2}{1-u_2}) tr2=t2tTP=2(1αP)2τrln(1u212αP+u2)
  综上所述,上升时间为
t r = t r 1 ( u 1 = 0.1 ) + t r 2 ( u 2 = 0.9 ) = τ r ( α P − 0.1 ) ( 1 − α P ) 2 + τ r 2 ( 1 − α P ) 2 l n ( 1.9 − 2 α P 0.1 ) t_r=t_{r1} (u_1=0.1)+t_{r2} (u_2=0.9)=\frac{τ_r(α_P-0.1)}{(1-α_P )^2}+\frac{τ_r}{2(1-α_P )^2}ln(\frac{1.9-2α_P}{0.1}) tr=tr1(u1=0.1)+tr2(u2=0.9)=(1αP)2τr(αP0.1)+2(1αP)2τrln(0.11.92αP)

3. 下降时间 t f t_f tf

  当输入信号为由0到1的阶跃信号时,下拉NMOS器件开始工作,PMOS断开,输出为低电平, C L C_L CL开始放电,此时开关模型可以等效为一阶RC网络,如图10。
在这里插入图片描述

  由NMOS对 V o u t V_{out} Vout节点处的电容放电可得流过NMOS的电流 I D N I_{DN} IDN
I D N = − C L d V o u t d t I_{DN}=-C_L \frac{dV_{out}}{dt} IDN=CLdtdVout
  忽略沟道长度调制效应,NMOS器件的I-V输出特性为
I D = μ n C O X W L [ ( V G S − V T ) V D S − V D S 2 2 ] V D S < V G S − V T ( 线性区 ) I_D= \frac{μ_n C_{OX} W}{L} [(V_{GS}-V_T )V_{DS}- \frac{V_{DS}^2}{2}] V_{DS}<V_{GS}-V_T (线性区) ID=LμnCOXW[(VGSVT)VDS2VDS2]VDS<VGSVT(线性区)
I D = μ n C O X 2 W L ( V G S − V T ) 2 V D S ≥ V G S − V T ( 饱和区 ) I_D= \frac{μ_n C_{OX}}{2} \frac{W}{L}(V_{GS}-V_T )^2 V_{DS}≥V_{GS}-V_T (饱和区) ID=2μnCOXLW(VGSVT)2VDSVGSVT(饱和区)
  由于输入信号为由0到1的阶跃信号时,输出由1到0过渡,根据图10可以得到 V o u t = V D S , V G S = V i n V_{out}=V_{DS},V_{GS}=V_{in} Vout=VDSVGS=Vin,由于假设输入信号为理想的阶跃信号,可得 V i n = V D D V_{in}=V_{DD} Vin=VDD 。综上所述 V G S = V i n = V D D V_{GS}=V_{in}=V_{DD} VGS=Vin=VDD , V o u t = V D S V_{out}=V_{DS} Vout=VDS。所以当 V o u t ≥ V D D − V T N V_{out}≥V_{DD}-V_{TN} VoutVDDVTN 时NMOS处于饱和区,如图11中的 t 1 t_1 t1~ t T N t_{TN} tTN段,当 V o u t < V D D − V T N V_{out}< V_{DD}-V_{TN} Vout<VDDVTN时NMOS处于线性区,如图11中的 t T N t_{TN} tTN~ t 2 t_2 t2段。
在这里插入图片描述

  同上升时间一样分两段分析,可以得到下降时间
t f = t f 1 ( u 1 = 0.1 ) + t f 2 ( u 2 = 0.9 ) = τ f ( α N − 0.1 ) ( 1 − α N ) 2 + τ f 2 ( 1 − α N ) l n ⁡ ( 1.9 − 2 α N 0.1 ) t_f=t_{f1} (u_1=0.1)+t_{f2} (u_2=0.9)= \frac{τ_f (α_N-0.1)}{(1-α_N )^2} +{τ_f}{2(1-α_N)} ln⁡(\frac{1.9-2α_N}{0.1}) tf=tf1(u1=0.1)+tf2(u2=0.9)=(1αN)2τf(αN0.1)+τf2(1αN)ln(0.11.92αN)
其中 τ f = 2 L C L μ n C O X W V D D τ_f=\frac{2LC_L }{μ_n C_{OX} WV_{DD}} τf=μnCOXWVDD2LCL α N = V T N V D D α_N= \frac{V_{TN}}{V_{DD}} αN=VDDVTN

五、时延 t p t_p tp计算模型

1. 模型一

在这里插入图片描述

  其中
t p H L = C L ∆ V H L I ( a v , H L ) ≈ τ f ∗ 1 ( 1 − α N ) 2 t_{pHL}=\frac{C_L ∆V_{HL}}{I_{(av,HL)}} ≈τ_f*\frac{1}{(1-α_N )^2} tpHL=I(av,HL)CLVHLτf(1αN)21
t p L H = C L ∆ V L H I ( a v , L H ) ≈ τ r ∗ 、 f r a c 1 ( 1 − α P ) 2 t_{pLH}=\frac{C_L ∆V_{LH}}{I_{(av,LH)}} ≈τ_r*、frac{1}{(1-α_P )^2} tpLH=I(av,LH)CLVLHτrfrac1(1αP)2
  用最大导通电流的一半作为平均电流:
I ( a v , H L ) ≈ 1 2 K N ( V D D + V T N ) 2 I_{(av,HL)}≈\frac{1}{2} K_N (V_{DD}+V_{TN} )^2 I(av,HL)21KN(VDD+VTN)2
I ( a v , L H ) ≈ 1 2 K P ( V D D + V T P ) 2 I_{(av,LH)}≈\frac{1}{2} K_P (V_{DD}+V_{TP} )^2 I(av,LH)21KP(VDD+VTP)2
  可得时延计算模型一
t p = t p H L + t p L H 2 = 1 2 τ r [ 1 K r ( 1 − α N ) 2 + 1 ( 1 − α P ) 2 ] t_p=\frac{t_{pHL}+t_{pLH}}{2}=\frac{1}{2} τ_r [\frac{1}{K_r (1-α_N )^2 }+\frac{1}{(1-α_P )^2} ] tp=2tpHL+tpLH=21τr[Kr(1αN)21+(1αP)21]
  其中 K r = τ r τ f K_r=\frac{τ_r}{τ_f} Kr=τfτr

2. 模型二

在这里插入图片描述

  在S域中
V o u t ( s ) = 1 R N + 1 S C L V i n ( s ) V_{out}(s)=\frac{1}{R_N+\frac{1}{SC_L }} V_{in} (s) Vout(s)=RN+SCL11Vin(s)
  进行反拉普拉斯变换可得
V o u t = ( 1 − e − 1 R N C L t ) V D D V_{out}=(1-e^{-\frac{1}{R_N C_L } t} ) V_{DD} Vout=(1eRNCL1t)VDD
  可以得到
t p H L = l n ⁡ ( 2 ) R N C L = 0.69 R N C L t_{pHL}=ln⁡(2) R_N C_L=0.69R_N C_L tpHL=ln(2)RNCL=0.69RNCL
t p L H = l n ⁡ ( 2 ) R P C L = 0.69 R P C L t_{pLH}=ln⁡(2) R_P C_L=0.69R_P C_L tpLH=ln(2)RPCL=0.69RPCL
  可得反相器传输延迟为:
t p = ( t p H L + t p L H ) / 2 = ( 〖 0.69 C 〗 L ( R N + R P ) ) / 2 t_p=(t_pHL+t_pLH)/2= (〖0.69C〗_L (R_N+R_P))/2 tp=(tpHL+tpLH)/2=(0.69CL(RN+RP))/2
  其中 R N R_N RN R P R_P RP为NMOS和PMOS在 V D S V_{DS} VDS V D D   V D D 2 V_{DD}~\frac{V_{DD}}{2} VDD 2VDD之间的平均电阻 R N e q R_{Neq} RNeq R P e q R_{Peq} RPeq
  以NMOS管的平均电阻R_Neq为例:
在这里插入图片描述

R V D D = V D D I D S A T ( 1 + λ V D D ) R_{VDD}=\frac{V_{DD}}{I_{DSAT} (1+λV_{DD} ) } RVDD=IDSAT(1+λVDD)VDD
R 0.5 V D D = 0.5 V D D I D S A T ( 1 + 0.5 λ V D D ) R_{0.5VDD}=\frac{0.5V_{DD}}{I_{DSAT} (1+0.5λV_{DD})} R0.5VDD=IDSAT(1+0.5λVDD)0.5VDD
I D S A T = μ n C O X W L [ ( V D D − V T ) V D S A T − V D S A T 2 2 ] , V D S A T = V G S − V T I_{DSAT} = \frac{μ_n C_{OX} W}{L}[(V_{DD}-V_T ) V_{DSAT}- \frac{V_{DSAT}^2}{2}],V_{DSAT}=V_{GS}-V_T IDSAT=LμnCOXW[(VDDVT)VDSAT2VDSAT2]VDSAT=VGSVT
  可得
R N e q = R V D D + R 0.5 V D D 2 = 1 2 V D D I D S A T ( 1 1 + λ V D D + 0.5 V D D 1 + 0.5 λ V D D ) R_{Neq}=\frac{R_{VDD}+R_{0.5VDD}}{2}=\frac{1}{2} \frac{V_{DD}}{I_{DSAT}}(\frac{1}{1+λV_{DD}}+\frac{0.5V_{DD}}{1+0.5λV_{DD} }) RNeq=2RVDD+R0.5VDD=21IDSATVDD(1+λVDD1+1+0.5λVDD0.5VDD
  根据泰勒展开为 1 1 + x = 1 − x + x 2 + ⋯ \frac{1}{1+x}=1-x+x^2+⋯ 1+x1=1x+x2+
  进一步得到
R N e q = 1 2 V D D I D S A T [ 1 − λ V D D + λ 2 V D D 2 + ⋯ + 1 2 ( 1 − 1 2 λ V D D + 1 4 λ 2 V D D 2 + ⋯ ) ] R_{Neq}=\frac{1}{2} \frac{V_{DD}}{I_{DSAT}} [1-λV_{DD}+λ^2 V_{DD}^2+⋯+\frac{1}{2}(1-\frac{1}{2} λV_{DD}+\frac{1}{4} λ^2 V_{DD}^2+⋯)] RNeq=21IDSATVDD[1λVDD+λ2VDD2++21(121λVDD+41λ2VDD2+)]
  可得
R e q ≈ 3 4 V D D I D S A T ( 1 − 5 6 λ V D D ) R_{eq}≈\frac{3}{4} \frac{V_{DD}}{I_{DSAT} (1-\frac{5}{6} λV_{DD})} Req43IDSAT(165λVDD)VDD

NOTE:需要注意的是有公式求 R e q R_{eq} Req 5 6 \frac{5}{6} 65 7 9 \frac{7}{9} 97这是因为求法不一样, 7 9 \frac{7}{9} 97是经过积分求得,只是误差不一致。

  其中沟长调制系数λ可以通过HSPICE搭建与电路中MOS管一样的电压环境( V G S V_{GS} VGS V D S V_{DS} VDS)进行OP仿真,利用HSPICE输出的lis文件中的MOS管的gds值也就是 r d s r_{ds} rds的倒数粗略估计沟长调制系数λ的值。
r d s = ∂ V D S ∂ I D S = ∂ V D S ∂ ( 0.5 μ C O X W L ( V G S − V T ) 2 ( 1 + λ V D s ) ) ) ≈ 1 λ I d = ≫ λ = g d s I d r_{ds}=\frac{∂V_{DS}}{∂I_{DS}} =\frac{∂V_{DS}}{∂(0.5 μC_{OX} \frac{ W}{L} (V_{GS}-V_T )^2 (1+λV_{Ds})))}≈\frac{1}{λI_d } =≫ λ=\frac{gds}{I_d} rds=IDSVDS=(0.5μCOXLW(VGSVT)2(1+λVDs)))VDSλId1=≫λ=Idgds

  • 8
    点赞
  • 40
    收藏
    觉得还不错? 一键收藏
  • 7
    评论
CMOS VLSI设计》是一本关于集成电路设计的经典教材。它详细介绍了CMOS技术在VLSI设计中的原理、方法和应用。该书的中文版将这些内容以清晰简洁的方式呈现给读者,极大地促进了中文读者对这一领域的理解和实践。 该书首先介绍了CMOS技术的基本概念和工艺流程,包括晶体管的结构、动作原理和参数等。接着详细介绍了CMOS逻辑门电路和时序元件的设计方法,如与门、非门、触发器等。此外,该书还介绍了CMOS电路的布线与时序设计技术,以及动态功耗和噪声的考虑等。这些内容使读者能够全面了解CMOS技术在集成电路中的应用。 《CMOS VLSI设计》中文版还对CMOS图形逻辑设计和测试方法进行了介绍。读者可以学习到使用EDA工具进行逻辑设计,如Verilog和VHDL语言的应用。此外,该书还介绍了测试芯片和电路板的方法和技术,包括测试模式生成和故障诊断等。 该书通过大量的实例和习题,帮助读者掌握了CMOS VLSI设计的基本原理和方法。同时,它还介绍了一些高级主题,如时钟分配、片上总线和片上存储器等。这些内容使读者能够更深入地了解和应用CMOS技术。 总之,《CMOS VLSI设计》中文版是一本权威的教材,它为读者提供了深入学习和实践CMOS VLSI设计的全面指南。无论是对于学习者还是从业者来说,该书都是一本宝贵的参考工具,将帮助他们在CMOS集成电路设计领域取得成功。
评论 7
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值