呼吸灯的Verilog设计

Verilog代码

module led_breathing(
    input clk,      							//50M
    input rst_n,
    output led);

    reg [15:0] i;                        		//亮灯时间
    reg [15:0] cnt_led;                	//计时器
    reg        flag = 0;
    localparam        T=50_000;     //1ms  
    
    always@(posedge clk or negedge rst_n)begin 
        if(!rst_n)begin
            cnt_led <=0;
            flag    <=0;
            i       <=0;
        end
        else if(cnt_led==T-1) begin 
            cnt_led <= 0;
            if(!flag) begin
                if(i==T) flag <= 1;
                else i <= i+50;
             end
             else begin
                if(i==0) flag <= 0;
                else i <= i-50;
             end
        end
        else cnt_led <= cnt_led+1;
    end
    assign led = cnt_led<i;
endmodule
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值